Metal Coating Patents (Class 427/250)
  • Patent number: 9623632
    Abstract: A vacuum deposition process suitable for coating discrete articles with a zinc-rich, fully alloyed layer is described. In a process step of contacting the article with metallic Zn vapor, the temperature of the article is equal to or higher than the dew point of the Zn vapor. The process results in a coating having a uniform thickness, even on less accessible surfaces. Additionally, the roughness of the steel substrate is essentially preserved. By properly engineering the surface of the substrate, coated articles can be obtained having a roughness providing for optimal paint adhesion.
    Type: Grant
    Filed: May 13, 2015
    Date of Patent: April 18, 2017
    Assignee: Umicore
    Inventors: Bruno Gay, Etienne Petit
  • Patent number: 9574264
    Abstract: In a method for evaporation depositing uniform thin films, a film is deposited on a substrate of a vacuum environment while maintaining a constant deposition rate. A cover is installed on a wall of the evaporation vessel. When the evaporation material is heated to an evaporation state and the interior of the evaporation vessel reaches a first vapor saturation pressure, the vapor of the evaporation material flows towards a pressure stabilizing chamber. When the pressure stabilizing chamber reaches a second vapor saturation pressure which is smaller than the first vapor saturation pressure, the vacuum environment has a vacuum background pressure which is smaller than the second vapor saturation pressure, so that the evaporation material vapor flows from the pressure stabilizing chamber towards the vacuum environment at constant rate due to the pressure difference, so as to evaporate the substrate.
    Type: Grant
    Filed: May 20, 2015
    Date of Patent: February 21, 2017
    Assignee: NATIONAL CHUNG-SHAN INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Shih-Chang Liang, Wei-Chieh Huang, Chao-Nan Wei, Cuo-Yo Ni, Hui-Yun Bor
  • Patent number: 9557859
    Abstract: Method of making a touch sensor including one or more multilayer electrodes and an underlayer disposed on a substrate. The underlayer is disposed between the multilayer electrodes and the substrate. The multilayer electrodes including at least two transparent or semitransparent conductive layers separated by a transparent or semitransparent intervening layer. The intervening layer includes electrically conductive pathways between the first and second conductive layers to help reduce interfacial reflections occurring between particular layers in devices incorporating the conducting film or electrode.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: January 31, 2017
    Assignee: 3M Innovative Properties Company
    Inventors: Clark I. Bright, Nancy S. Lennhoff, Mark E. Flanzer, Dennis M. Brunner
  • Patent number: 9490211
    Abstract: A method of filling features in a dielectric layer is provided. A pure Co or pure Ru adhesion layer is deposited against surfaces of the features, wherein the adhesion layer is separated from some of the surfaces of the features of the low-k dielectric layer by no more than 10 ?. The features are filled with Cu or a Cu alloy.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: November 8, 2016
    Assignee: Lam Research Corporation
    Inventors: Lie Zhao, Artur Kolics
  • Patent number: 9347133
    Abstract: A method of depositing a ruthenium metal thin film or ruthenium oxide thin film comprising a ruthenium compound used for depositing metallic Ru or RuO2 thin film on a substrate via atomic layer deposition, and the ruthenium compound represented by Chemical Formula 1, wherein L is a ligand selected from the group consisting of 1-ethyl-1,4-cyclohexadiene, 1,3-butadiene, and isoprene.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: May 24, 2016
    Assignee: HANSOL CHEMICAL CO., LTD.
    Inventors: Jung Woo Park, Jun Young Kim, Kwang deok Lee, Whee Won Jin
  • Patent number: 9349583
    Abstract: Methods of fabricating a semiconductor device include forming a deposited film on a semiconductor substrate in a process chamber by repeatedly forming unit layers on the semiconductor substrate. The unit layer is formed by forming a preliminary unit layer on the semiconductor substrate by supplying a process material including a precursor material and film-control material into the process chamber, purging the process chamber, forming a unit layer from the preliminary unit layer, and again purging the process chamber. The precursor material includes a central atom and a ligand bonded to the central atom, and the film-control material includes a hydride of the ligand.
    Type: Grant
    Filed: February 25, 2013
    Date of Patent: May 24, 2016
    Assignee: Samsung Electronis Co., Ltd.
    Inventors: Min-Young Park, Youn-Soo Kim, Sang-Yeol Kang, Cha-Young Yoo, Jae-Soon Lim, Jae-Hyoung Choi
  • Patent number: 9343305
    Abstract: The invention relates to a method for the continuous coating of at least one substrate 14 with a semiconductor material e.g. CdTe. To this end a semiconductor material is sublimated in at least one crucible 30 in order to deposit it on a substrate, e.g. a glass panel. If the crucible 30 is filled with semiconductor material (16) during the deposition and/or evaporation, the set-up time required otherwise is then eliminated. Preferably used for carrying out the method is a CSS reactor comprising a crucible, a guide for substrates and at least one lock through which the crucible can be refilled during evaporation of semiconductor material from the crucible.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: May 17, 2016
    Assignee: ANTEC SOLAR GMBH
    Inventors: Norbert Kreft, Stefan Oelting
  • Patent number: 9324606
    Abstract: A self-aligned repairing process for a barrier layer is provided. A repair layer is formed by chemical vapor deposition using an organometallic compound as a precursor gas. The precursor gas adsorbed on a dielectric layer exposed by defects in a barrier layer is transformed to an insulating metal oxide layer, and the precursor gas adsorbed on the barrier layer is transformed to a metal layer.
    Type: Grant
    Filed: January 9, 2014
    Date of Patent: April 26, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Chien Chi, Chung-Chi Ko, Mei-Ling Chen, Huang-Yi Huang, Szu-Ping Tung, Ching-Hua Hsieh
  • Patent number: 9309413
    Abstract: Disclosed are a thermal spray coating material which greatly improves corrosion resistance, as compared to conventional Al2O3 and Y2O3 crystalline coatings and Al—Y—O and Al—Zr—O amorphous thermal spray coatings, a production method of the coating material, and a coating method using the same. The thermal spray coating material has a chemical formula of Y2xZr1?xOx+2, where x ranges from 0.19 to 0.83, preferably from 0.35 to 0.69. Accordingly, it is possible to produce a coating material for use in a chamber of vacuum plasmas equipment or internal parts of the chamber can be produced, which improves the corrosion resistance of a protective coating film upon ceramic thermal spray coating and lengthens the lifespan of parts.
    Type: Grant
    Filed: June 12, 2013
    Date of Patent: April 12, 2016
    Assignee: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Hyun-Kwang Seok, Yu-Chan Kim, Eun-Young Choi, Kyeong-Ho Baik, Kyung-Hun Byun, Hoon Jeong
  • Patent number: 9214340
    Abstract: The embodiments of the disclosure may generally provide a method and apparatus for forming thin film transistor device that includes an indium gallium zinc oxide (IGZO) layer using a multi-component precursor gas. The embodiments of the disclosure may provide a plasma enhanced chemical vapor deposition system configured to form an IGZO layer on large area substrates. However, it should be understood that the disclosure has utility in other system configurations such other types of chemical vapor deposition systems and any other system in which distributing a multi-component precursor gas to and within a process chamber is desired.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: December 15, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Srikanth V. Racherla, Suhail Anwar
  • Patent number: 9194040
    Abstract: Provided are precursors and methods of using same to deposit film consisting essentially of nickel. Certain methods comprise providing a substrate surface; exposing the substrate surface to a vapor comprising a precursor having a structure represented, without limitation to specific orientation, by: wherein R1 and R2 are each independently H or any C1-C3 alkyl group, R4 is trimethylsilyl or C1-C3 alkyl, and L is any ligand that does not contain oxygen; and exposing the substrate to a reducing gas to provide a film consisting essentially of nickel on the substrate surface.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: November 24, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David Knapp
  • Patent number: 9174416
    Abstract: A mixed powder of an Ni—Al alloy and alumina is produced by heating a first mixed powder, which is prepared by mixing an Ni—Al mixed powder as prepared by mixing an Al powder with Ni in such a manner that Al therein could fall within a range of from 25 atomic % to 60 atomic %, and an alumina powder in a range of from 40% by mass to 60% by mass, in vacuum or in an inert gas atmosphere at a temperature falling within a range of from 600° C. to 1300° C. for at least 1 hour, and then grinding the resulting product.
    Type: Grant
    Filed: March 27, 2013
    Date of Patent: November 3, 2015
    Assignee: NATIONAL INSTITUTE FOR MATERIALS SCIENCE
    Inventors: Hideyuki Murakami, Daishi Ohtsubo, Yoko Mitarai, Makoto Nanko
  • Patent number: 9133548
    Abstract: A TiN film forming method repeatedly performs for a plurality of substrates to be processed, a step of loading each substrate into a processing chamber, supplying a Ti-containing gas and a nitriding gas into the processing chamber, and forming a TiN film on a surface of the substrate by generating a plasma of the supplied gases. The TiN film forming method includes a Ti film forming step of forming a Ti film by supplying a processing gas containing Ti-containing gas into the processing chamber in a state where no substrate exists in the processing chamber after the TiN films are formed on a predetermined number of the substrates.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: September 15, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hideaki Yamasaki, Shinya Okabe, Takeshi Yamamoto, Toru Onishi
  • Patent number: 9121093
    Abstract: Disclosed are processes for the use of bis-ketoiminate copper precursors for the deposition of copper-containing films via Plasma Enhanced Atomic Layer Deposition (PEALD) or Plasma Enhanced Chemical Vapor Deposition (PECVD).
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: September 1, 2015
    Assignee: American Air Liquide, Inc.
    Inventors: Christian Dussarrat, Clément Lansalot-Matras, Vincent M. Omarjee, Andrey V. Korolev
  • Patent number: 9093484
    Abstract: A manufacturing apparatus for a semiconductor device includes: a chamber configured to load a wafer into the chamber; a gas supplying mechanism configured to supply processed gas into the chamber; a gas discharging mechanism configured to discharge the gas from the chamber; a wafer supporting member configured to mount the wafer; a heater including a heater element configured to heat the wafer up to a predetermined temperature and a heater electrode molded integrally with the heater element; an electrode part connected to the heater electrode and configured to applied a voltage to the heater element via the heater electrode; a base configured to fix the electrode part; and a rotational drive control mechanism configured to rotate the wafer; wherein at least a part of a connection portion of the heater electrode and the electrode part is positioned under the upper surface of the base.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: July 28, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Kunihiko Suzuki, Yoshikazu Moriyama
  • Publication number: 20150129089
    Abstract: A hydrogen-free amorphous dielectric insulating film having a high material density and a low density of tunneling states is provided. The film is prepared by e-beam deposition of a dielectric material on a substrate having a high substrate temperature Tsub under high vacuum and at a low deposition rate. In an exemplary embodiment, the film is amorphous silicon having a density greater than about 2.18 g/cm3 and a hydrogen content of less than about 0.1%, prepared by e-beam deposition at a rate of about 0.1 nm/sec on a substrate having Tsub=400° C. under a vacuum pressure of 1×10?8 Torr.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Applicant: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Xiao Liu, Daniel R. Queen, Frances Hellman
  • Patent number: 9028917
    Abstract: A method for forming a cobalt-containing thin film by a vapor deposition process is provided. The method comprises using at least one precursor corresponding in structure to Formula (I); wherein R1 and R2 are independently C2-C8-alkyl; x is zero, 1 or 2; and y is zero or 1; wherein both x and y can not be zero simultaneously.
    Type: Grant
    Filed: July 27, 2010
    Date of Patent: May 12, 2015
    Assignee: Sigma-Aldrich Co. LLC
    Inventors: Rajesh Odedra, Neil Boag, Jeff Anthis, Ravi Kanjolia
  • Publication number: 20150125605
    Abstract: Methods for deposition of elemental metal films on surfaces using metal coordination complexes are provided. The metal complexes comprise thiophene, pyrrole or salen-based ligands. A substrate surface may be contacted with a vapor phase metal coordination complex such that a layer is formed on the surface comprising the metal coordination complex bound to the surface by the metal. The bound metal complex may then be contacted with a reducing gas such that an exchange reaction occurs between the bound metal coordination complex and the reducing gas, thereby dissociating the bound metal complex and producing a first layer of elemental metal on the surface of the substrate. The process can be repeated for additional layers.
    Type: Application
    Filed: November 7, 2013
    Publication date: May 7, 2015
    Inventors: Bhaskar Jyoti Bhuyan, Anshita Gairola
  • Patent number: 9017762
    Abstract: The invention relates to sputter targets and methods for depositing a layer from a sputter target. The method preferably includes the steps of: placing a sputter target in a vacuum chamber; placing a substrate having a substrate surface in the vacuum chamber; reducing the pressure in the vacuum chamber to about 100 Torr or less; removing atoms from the surface of the sputter target while the sputter target is in the vacuum chamber (e.g., using a magnetic field and/or an electric field). The deposited layer preferably is a molybdenum containing alloy including about 50 atomic percent or more molybdenum, 0.5 to 45 atomic percent of a second metal element selected from the group consisting of niobium and vanadium; and 0.5 to 45 atomic percent of a third metal element selected from the group consisting of tantalum, chromium, vanadium, niobium, and titanium.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: April 28, 2015
    Assignee: H.C. Starck, Inc.
    Inventors: Gary Alan Rozak, Mark E. Gaydos, Patrick Alan Hogan, Shuwei Sun
  • Publication number: 20150104587
    Abstract: A method for making multiple single molecule receptors in a nanopore structure includes depositing a first material and a second material by a physical vapor deposition (PVD) technique onto different selected interior surfaces of a nanochannel and functionalizing a surface of the first material, the second material, or both the first and second materials with a chemical compound having at least two functional groups. The first and second materials can be the same or different and form patches having diameters of about 1 to about 100 nanometers (nm). Also disclosed are embodiments of a nanopore structure including multiple single molecule receptors.
    Type: Application
    Filed: November 4, 2013
    Publication date: April 16, 2015
    Applicant: International Business Machines Corporation
    Inventors: Julia Baldauf, Stefan Harrer, Christine Schieber
  • Publication number: 20150104586
    Abstract: A method for making a single molecule receptor in a nanopore structure includes depositing a material by a physical vapor deposition (PVD) technique onto a selected interior surface of a nanochannel and functionalizing a surface of the material with a chemical compound having at least two functional groups. The material forms a patch having a diameter of about 3 to about 10,000 nanometers (nm). Also disclosed are embodiments of a nanopore structure including a single molecule receptor.
    Type: Application
    Filed: November 4, 2013
    Publication date: April 16, 2015
    Applicant: International Business Machines Corporation
    Inventors: Julia Baldauf, Stefan Harrer, Christine Schieber
  • Patent number: 9005704
    Abstract: Cobalt-containing films, as well as methods for providing the cobalt-containing films. Certain methods pertain to exposing a substrate surface to a precursor and a co-reactant to provide a cobalt-containing film, the first precursor having a structure represented by: wherein each R is independently C1-C6 substituted or un-substituted alkanes, branched or un-branched alkanes, substituted or un-substituted alkenes, branched or un-branched alkenes, substituted or un-substituted alkynes, branched or un-branched alkynes or substituted or un-substituted aromatics, L is a coordinating ligand comprising a Lewis base.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: April 14, 2015
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Jeffrey W. Anthis, David Knapp, Benjamin Schmiege
  • Patent number: 9005705
    Abstract: A method for producing a substrate with a copper or a copper-containing coating is disclosed. The method comprises a first step wherein a first precursor, a second precursor and a substrate are provided. The first precursor is a copper complex that contains no fluorine and the second precursor is selected from a ruthenium complex, a nickel complex, a palladium complex or mixtures thereof. In the second step, a layer is deposited at least on partial regions of a surface of the substrate by using the first precursor and the second precursor by means of atomic layer deposition (ALD). The molar ratio of the first precursor:second precursor used for the ALD extends from 90:10 to 99.99:0.01. The obtained layer contains copper and at least one of ruthenium, nickel and palladium. Finally, a reduction is performed step in which a reducing agent acts on the substrate obtained after depositing the copper-containing layer.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: April 14, 2015
    Assignees: Fraunhofer-Gesellschaft zur Foerderung der Angewandten Forschung E.V., Technische Universitaet Chemnitz
    Inventors: Thomas Waechtler, Stefan Schulz, Thomas Gessner, Steve Mueller, André Tuchscherer, Heinrich Lang
  • Patent number: 9004002
    Abstract: A mask assembly is disclosed to improve organic material deposition efficiency including: a plurality of deposition masks, at least one of opposite ends of each of the plurality of deposition masks is formed to have a plurality of projections, which form at least one boundary aperture region at a boundary of adjacent two deposition masks.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: April 14, 2015
    Assignee: LG Display Co., Ltd.
    Inventors: Chong-Hyun Park, Tae-Hyung Kim, Il-Hyun Lee
  • Patent number: 8999442
    Abstract: Disclosed is a ruthenium film-forming material having a lower melting point and a higher vapor pressure that facilitates supply of the material onto a base and moreover enables a high-quality ruthenium film to be obtained. A ruthenium film-forming material includes a compound represented by general formula (1) below (wherein R1 is independently at each occurrence a hydrogen atom, a halogen atom, a hydrocarbon group having 1 to 4 carbon atoms or a halogenated hydrocarbon group having 1 to 4 carbon atoms; R2 is independently at each occurrence a halogenated hydrocarbon group having 1 to 4 carbon atoms, an alkoxy group having 1 to 4 carbon atoms or a halogenated alkoxy group having 1 to 4 carbon atoms, with the proviso that R1 and R2 are mutually differing groups; R3 is independently at each occurrence a hydrogen atom or a hydrocarbon group having 1 to 4 carbon atoms; and L is an unsaturated hydrocarbon compound having 4 to 10 carbon atoms and having at least two double bonds).
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: April 7, 2015
    Assignee: JSR Corporation
    Inventors: Ryuichi Saito, Kang-go Chung, Hideki Nishimura, Tatsuya Sakai
  • Publication number: 20150093287
    Abstract: A a titanium alloy can be applied on a substrate by one of melting, welding, and depositing said titanium alloy on said substrate and solidifying said deposited or molten titanium alloy. Further, 0.01-0.4 weight % Boron can be added to said titanium alloy before or during said melting, welding or depositing said titanium alloy on said substrate.
    Type: Application
    Filed: May 16, 2012
    Publication date: April 2, 2015
    Applicant: GKN Aerospace Sweden AB
    Inventors: Robert Pederson, Frank Skystedt
  • Patent number: 8993055
    Abstract: Methods of producing metal-containing thin films with low impurity contents on a substrate by atomic layer deposition (ALD) are provided. The methods preferably comprise contacting a substrate with alternating and sequential pulses of a metal source chemical, a second source chemical and a deposition enhancing agent. The deposition enhancing agent is preferably selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, and boron compounds. In some embodiments, the deposition-enhancing agent reacts with halide contaminants in the growing thin film, improving film properties.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: March 31, 2015
    Assignee: ASM International N.V.
    Inventors: Antti Rahtu, Eva Tois, Kai-Erik Elers, Wei-Min Li
  • Publication number: 20150064352
    Abstract: A multinary nanoparticle film forming system includes: a generating chamber with a plurality of metal materials arranged therein so as to generate multinary nanoparticles from nanoparticles; a film forming chamber with a substrate arranged therein; and granulation units arranged in the generating chamber so as to respectively correspond to the plurality of metal materials. Further, each of the granulation units includes each of containers respectively covering the metal materials, each of heaters respectively arranged in the containers, each of outflow ports respectively provided at the containers so as to enable the nanoparticles to flow out therefrom, and each of inflow ports respectively provided at the containers so as to enable the coolant gas to be respectively introduced into the containers.
    Type: Application
    Filed: January 31, 2013
    Publication date: March 5, 2015
    Applicant: KABUSHIKI KAISHA ATSUMITEC
    Inventors: Naoki Uchiyama, Tomoni Kanai
  • Publication number: 20150053667
    Abstract: A three-dimensional ceramic heater, such as a cylindrical ceramic heater, is proposed in which the conductive ceramic heating element is multi-furcated into lanes at least in the folded-back sections so that the electric current tends to flow in a more uniform and hence laminar manner with the effect that the localized over heating is suppressed greatly.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 26, 2015
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takuma Kushihashi, Noboru Kimura, Kazuyoshi Tamura
  • Publication number: 20150056384
    Abstract: The invention concerns the use of ruthenium containing precursors having the formula (1) wherein R1, R2 . . . R10 are independently selected from H, C1-C4 linear, branched, or cyclic alkyl group, C1-C4 linear, branched, or cyclic alkylsilyl group (mono, bis, or trisalkyl), C1-C4 linear, branched, or cyclic alkylamino group, or a C1-C4 linear, branched, or cyclic fluoroalkyl group (totally fluorinated or not); for the deposition of a Ru containing film on a substrate.
    Type: Application
    Filed: November 30, 2012
    Publication date: February 26, 2015
    Inventors: Julien Gatineau, Clément Lansalot-Matras
  • Publication number: 20150050420
    Abstract: A method for manufacturing a metal film being formed on a surface of a non-electric conductive base material includes processes of a deposition process of releasing a metal being formed in a particle or being vaporized from at least one of targets, the target being made of solid metal and depositing a metal thin film on the surface of the base material by having the released metal hit the surface of the base material from a plurality of directions; and a crack forming process of forming a crack in the metal thin film by applying thermal stress to the metal thin film.
    Type: Application
    Filed: July 18, 2014
    Publication date: February 19, 2015
    Applicant: AISIN SEIKI KABUSHIKI KAISHA
    Inventors: Takashi HARA, Hirofumi KOIKE, Kazuki MIZUTANI
  • Publication number: 20150050521
    Abstract: Multilayer material comprising a zirconium-based substrate covered with a multilayer coating, the multilayer coating comprising metallic layers composed of identical or different substances chosen from chromium, a chromium alloy or a ternary alloy of the Nb—Cr—Ti system. Such a material has improved resistance to oxidation in accident conditions of a nuclear reactor. The invention also relates to a multilayer coating, a part composed wholly or partly of the multilayer material or of the multilayer coating, as well as the method for manufacturing the multilayer material such as for example a magnetron cathodic sputtering process.
    Type: Application
    Filed: October 27, 2014
    Publication date: February 19, 2015
    Inventors: Marion LE FLEM, Cédric DUCROS, Frédéric SANCHETTE
  • Patent number: 8956510
    Abstract: The present invention relates generally to methods for producing metallic products comprising a substrate and a metallic, external coating. In preferred embodiments, the metallic products are jewelry articles.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: February 17, 2015
    Assignee: Frederick Goldman, Inc.
    Inventor: Andrew Derrig
  • Publication number: 20150037551
    Abstract: Coating barrier layer and manufacturing process, for coating a base substrate, comprising said barrier layer a group of, at least, an inorganic layer and a polymeric layer, where a metal rich interface layer is disposed between the inorganic layer and the polymeric layer.
    Type: Application
    Filed: December 29, 2011
    Publication date: February 5, 2015
    Applicants: GENCOA LIMITED, ASOCIACIÓN DE LA INDUSTRIA NAVARRA (AIN)
    Inventors: Gonzalo García Fuentes, José Antonio García Lorente, Rafael Rodríguez Trias, Víctor Bellido-González
  • Publication number: 20150030771
    Abstract: Electronic device processing systems including cobalt deposition are described. One system includes a mainframe having a transfer chamber and at least two facets, and one or more process chambers adapted to carry out a metal reduction or metal oxide reduction process and possibly an annealing processes on substrates, and one or more deposition process chambers adapted to carry out a cobalt deposition process. Other systems includes a transfer chamber, one or more load lock process chambers coupled to the transfer chamber that are adapted to carry out a metal reduction or metal oxide reduction process. Additional methods and systems for cobalt deposition processing of substrates are described, as are numerous other aspects.
    Type: Application
    Filed: July 22, 2014
    Publication date: January 29, 2015
    Inventors: Avgerinos V. Gelatos, Bhushan Zope, Bo Zheng
  • Publication number: 20150030766
    Abstract: A technique and apparatus for cleaning the underside of a pedestal in a single- or multi-station semiconductor processing chamber or tool are provided. Also provided is an integrated vacuum foreline manifold having symmetric flow path lengths that may be used in multi-station semiconductor processing chamber or tool.
    Type: Application
    Filed: July 25, 2013
    Publication date: January 29, 2015
    Inventors: Gary B. Lind, Abhishek A. Manohar, Yan Guan, Raashina Humayun
  • Patent number: 8936799
    Abstract: The present invention relates to pigments with improved and adjustable sparkling effect comprising A) a plate-like substrate of perlite coated with (a) dielectric material, and/or metal; and B) a plate-like substrate of mica, coated with (a) dielectric material, and/or a metal; and a process for their production and their use in paints, ink jet printing, for dyeing textiles, for pigmenting coatings (paints), printing inks, plastics, cosmetics, glazes for ceramics and glass. The pigments show an improved sparkle effect; in particular an attractive high sparkle intensity.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: January 20, 2015
    Assignee: BASF SE
    Inventors: Raimund Schmid, Norbert Mronga, Patrice Bujard, Steven Jones, Paul Cao
  • Publication number: 20150010770
    Abstract: A chromium-based reflective coating for a polymeric substrate, wherein the coating has a thickness of 200 nm or less and is an alloy of chromium and a dopant material, the dopant material being selected from the hexagonally close-packed transition metals, the alloy having a crystal structure of a primary body-centered cubic phase in coexistence with a secondary omega hexagonally close-packed phase.
    Type: Application
    Filed: January 24, 2013
    Publication date: January 8, 2015
    Applicants: UNIVERSITY OF SOUTH AUSTRALIA, SMR PATENTS S.A.R.L.
    Inventors: Drew Raymond Evans, Kamil Zuber, Colin James Hall, Simon David Field
  • Publication number: 20150010772
    Abstract: This disclosure relates metalized fluoroelastomer materials such as films. The fluoroelastomer materials bear a conductive metal layer bound to the fluoroelastomer material through a thin layer of titanium.
    Type: Application
    Filed: December 26, 2012
    Publication date: January 8, 2015
    Applicant: 3M INNOVATIVE PROPERTIES COMPANY
    Inventors: Gene B. Nesmith, Steven Y. Yu
  • Patent number: 8927056
    Abstract: A dielectric layer 2 is formed on a region including grid-shaped convex portions 1a of a resin substrate 1 having the grid-shaped convex portions 1a with pitches of 80 nm to 120 nm on its surface, and metal wires 3 are formed on the dielectric layer 2. It is thereby possible to obtain a wire grid polarizer having a microstructural concavo-convex grid with pitches of the level of 120 nm or less that has not been implemented.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: January 6, 2015
    Assignee: Asahi Kasei Kabushiki Kaisha
    Inventors: Hiroshi Yamaki, Yusuke Sato, Takuji Namatame, Yasuyuki Kawazu
  • Patent number: 8927869
    Abstract: Wire-bonded semiconductor structures using organic insulating material and methods of manufacture are disclosed. The method includes forming a metal wiring layer in an organic insulator layer. The method further includes forming a protective layer over the organic insulator layer. The method further includes forming a via in the organic insulator layer over the metal wiring layer. The method further includes depositing a metal layer in the via and on the protective layer. The method further includes patterning the metal layer with an etch chemistry that is damaging to the organic insulator layer.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: January 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Zhong-Xiang He, Christopher D. Muzzy, Wolfgang Sauter, Timothy D. Sullivan
  • Patent number: 8927059
    Abstract: Methods of depositing pure metal and aluminum alloy metal films. Certain methods comprises contacting a substrate surface with first and second precursors, the first precursor comprising an aluminum precursor selected from dimethylaluminum hydride, alane coordinated to an amine, and a compound having a structure represented by: wherein R is a C1-C6 alkyl group, and the second precursor comprising a metal halide. Other methods relate to sequentially exposing a substrate to a first and second precursor, the first precursor comprising an aluminum precursor as described above, and the second precursor comprising Ti(NR?2)4 or Ta(NR?2)5, wherein R? is an alkyl, alkenyl, alkynyl, keto or aldehyde group.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: January 6, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Xinliang Lu, David Thompson, Jeffrey W. Anthis, Mei Chang, Seshadri Ganguli, Wei Tang, Srinivas Gandikota, Atif Noori
  • Publication number: 20150004395
    Abstract: An object of the present invention is to provide a vapor-deposited film that enables the formation of a pinhole and/or a crack due to flexure thereof in a processing of the vapor-deposited film such as lamination to be suppressed, and the deterioration of the gas barrier property thereof to be inhibited. The present invention relates to a vapor-deposited film including a substrate film that contains a polyvinyl alcohol polymer, and a metal vapor-deposited layer provided on the substrate film, a mean particle size in the metal vapor-deposited layer as determined using an electron microscope being 150 nm or less. The average thickness of the metal vapor-deposited layer is preferably 30 nm or more and 100 nm or less.
    Type: Application
    Filed: February 20, 2013
    Publication date: January 1, 2015
    Applicant: KURARAY CO., LTD.
    Inventors: Yasuhiro Nonaka, Hiroshi Kawai, Satoshi Yamakoshi
  • Publication number: 20150004387
    Abstract: A high-barrier film is provided that includes a biaxially-oriented polyethylene terephthalate (PET) layer having a first side and a second side opposite the first side, a cross-linked acrylic primer layer, and a metal barrier layer. The cross-linked acrylic primer layer is adjacent to the second side of the PET layer and has a dynamic coefficient of friction (?D) to steel of less than about 0.45, while the metal barrier layer is adjacent to the first side of the PET layer. The film has a total thickness of less than about 10 ?m and has a tensile strength of at least about 2500 kg/cm2. Processes for producing the high-barrier film are also provided.
    Type: Application
    Filed: February 28, 2014
    Publication date: January 1, 2015
    Applicant: Flex Films (USA) Inc.
    Inventors: Steven Sargeant, Sudhir Naik
  • Patent number: 8920875
    Abstract: To provide a ruthenium compound suitable for a chemical vapor deposition method (CVD method). A liquid cyclooctatetraenetricarbonyl ruthenium complex represented by the following Formula (1) is obtained by irradiating a solution mixture of dodecacarbonyl triruthenium and a cyclooctatetraene with light. A satisfactory ruthenium film or ruthenium oxide film can be easily obtained by a chemical vapor deposition method using the complex as a raw material.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: December 30, 2014
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventors: Kazuharu Suzuki, Masayuki Saito
  • Patent number: 8916005
    Abstract: A slurry and slurry coating process for forming a diffusion aluminide coating on a substrate, including internal surfaces within the substrate. The process involves preparing a slurry of a powder containing a metallic aluminum alloy having a melting temperature higher than aluminum, an activator capable of forming a reactive halide vapor with the metallic aluminum, and a binder containing an organic polymer. The slurry is applied to surfaces of the substrate, which is then heated to burn off the binder, vaporize and react the activator with the metallic aluminum to form the halide vapor, react the halide vapor at the substrate surfaces to deposit aluminum on the surfaces, and diffuse the deposited aluminum into the surfaces to form a diffusion aluminide coating. The process can be tailored to selectively produce an inward or outward-type coating. The binder burns off to form an ash residue that can be readily removed.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: December 23, 2014
    Assignee: General Electric Company
    Inventors: Dennis William Cavanaugh, Canan Uslu Hardwicke, Matthew James OConnell, Todd Steven Moran
  • Patent number: 8911827
    Abstract: A chemical deposition method for producing a platinum thin film or a platinum compound thin film by chemical vapor deposition of an organoplatinum compound is represented by the following formula, which includes a divalent platinum atom, and hexadiene or a hexadiene derivative and alkyl anions coordinated to the divalent platinum atom. In the following formula, R1 and R2 are each an alkyl group, and may be different from each other. R3 and R4 are each a hydrogen atom or an alkyl group, and may be different from each other. The organoplatinum compound is satisfactory in stability and generates no toxic substance in film formation, and hence is satisfactory in handleability and excellent in practicability. The organoplatinum compound has a high vapor pressure, enables the film formation at a low temperature, and is useful as a CVD raw material easily forming a film on a spatial structure.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: December 16, 2014
    Assignee: Tanka Kikinzoku Kogyo K.K.
    Inventors: Kazuharu Suzuki, Shunichi Nabeya, Masayuki Saito
  • Patent number: 8906457
    Abstract: Methods for deposition of metal films consisting essentially of Co, Mn, Ru or a lanthanide on surfaces using metal coordination complexes are provided. The precursors used in the process include a 2-methylimine pyrrolyl ligand and/or N,N?-diisopropylformamidinato ligand. The precursors may also contain cyclopentadienyl, pentamethylcyclopentadienyl or pyrrolyl groups.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: December 9, 2014
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Jeffrey W. Anthis, Christian Dussarrat, Clement Lansalot-Matras
  • Patent number: 8906515
    Abstract: Metal-clad polymer articles containing structural fine-grained and/or amorphous metallic coatings/layers optionally containing solid particulates dispersed therein, are disclosed. The fine-grained and/or amorphous metallic coatings are particularly suited for strong and lightweight articles, precision molds, sporting goods, automotive parts and components exposed to thermal cycling although the coefficient of linear thermal expansion (CLTE) of the metallic layer and the substrate are mismatched. The interface between the metallic layer and the polymer is suitably pretreated to withstand thermal cycling without failure.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: December 9, 2014
    Assignee: Integran Technologies, Inc.
    Inventors: Klaus Tomantschger, Jonathan McCrea, Nandakumar Nagarajan, Francisco Gonzalez, Gino Palumbo, Konstantinos Panagiotopoulos, Herath Katugaha, Diana Facchini, Jared J. Victor, Uwe Erb
  • Publication number: 20140352869
    Abstract: In one embodiment, a bulk carbon nanotube and metallic composite is provided. The bulk carbon nanotube and metallic composite includes a bulk carbon nanotube material layer including a plurality of carbon nanotubes, and a metal film applied across the bulk carbon nanotube material layer. The metal film penetrates into the interstices between individual carbon nanotubes to reduce an electrical resistance between the plurality of carbon nanotubes.
    Type: Application
    Filed: August 18, 2014
    Publication date: December 4, 2014
    Inventor: James Antoni Wasynczuk