By Decomposing Metallic Compound (e.g., Pack Process, Etc.) Patents (Class 427/252)
  • Patent number: 11939680
    Abstract: A method includes applying a material coating on a surface of a machine component using a thermal spray, wherein the material coating is formed from a combination of a hardfacing material and aluminum-containing particles. The method also includes thermally treating the material coating to generate an oxide layer comprising aluminum from the aluminum-containing particles, wherein the oxide layer is configured to reduce oxidation of the hardfacing material.
    Type: Grant
    Filed: March 8, 2023
    Date of Patent: March 26, 2024
    Assignee: GE Infrastructure Technology LLC
    Inventors: Krishnamurthy Anand, Surinder Singh Pabla, Lacey Lynn Schwab, Biju Dasan, Seung-Woo Choi, Sathisha Chikkabikkodu Hanum, Ravikiran Kopparthi, David Vincent Bucci
  • Patent number: 11761081
    Abstract: Described are vapor deposition methods for depositing metal films or layers onto a substrate, wherein the metal is molybdenum or tungsten; the methods involve organometallic precursor compounds that contain the metal and one or more carbon-containing ligands, and include depositing a metal layer formed from the metal of the precursor, onto a substrate, followed by introducing oxidizer to the formed metal layer.
    Type: Grant
    Filed: September 20, 2019
    Date of Patent: September 19, 2023
    Assignee: ENTEGRIS, INC.
    Inventors: Robert Wright, Jr., Thomas H. Baum, Bryan C. Hendrix, Shawn D. Nguyen, Han Wang, Philip S. H. Chen
  • Patent number: 11603588
    Abstract: A method includes applying a material coating on a surface of a machine component using a thermal spray, wherein the material coating is formed from a combination of a hardfacing material and aluminum-containing particles. The method also includes thermally treating the material coating to generate an oxide layer comprising aluminum from the aluminum-containing particles, wherein the oxide layer is configured to reduce oxidation of the hardfacing material.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: March 14, 2023
    Assignee: General Electric Company
    Inventors: Krishnamurthy Anand, Surinder Singh Pabla, Lacey Lynn Schwab, Biju Dasan, Seung-Woo Choi, Sathisha Chikkabikkodu Hanum, Ravikiran Kopparthi, David Vincent Bucci
  • Patent number: 11329219
    Abstract: In a method of manufacturing a magnetoresistive random access memory, a memory structure may be formed on a substrate. The memory structure may include a lower electrode, a magnetic tunnel junction (MTJ) structure, and an upper electrode sequentially stacked. A protection layer including silicon nitride may be formed to cover a surface of the memory structure. The protection layer may be formed by a chemical vapor deposition process using plasma and introducing deposition gases including a silicon source gas, a nitrogen source gas containing no hydrogen and a dissociation gas. Damages of the MTJ structure may be decreased during forming the protection layer. Thus, the MRAM may have improved characteristics.
    Type: Grant
    Filed: April 6, 2020
    Date of Patent: May 10, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jungmin Lee, Younghyun Kim, Junghwan Park, Sechung Oh, Kyungil Hong
  • Patent number: 11104994
    Abstract: Process for manufacturing a nuclear component that includes i) a support containing a substrate based on a metal, the substrate being coated or not coated with an interposed layer positioned between the substrate and at least one protective layer and ii) the protective layer composed of a protective material including partially metastable chromium; the process includes a step a) of vaporizing a mother solution followed by a step b) of depositing the protective layer onto the support via a process of chemical vapor deposition of an organometallic compound by direct liquid injection (DLI-MOCVD).
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: August 31, 2021
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Frédéric Schuster, Fernando Lomello, Francis Maury, Alexandre Michau, Raphaël Boichot, Michel Pons
  • Patent number: 11088107
    Abstract: A system and method are provided for depositing a substance onto a substrate, the system comprising: a chamber adapted to operate under high vacuum; an apparatus for receiving and cleaning the substrate to produce a clean substrate and for delivering the clean substrate to a coating position in the chamber under high vacuum; a carrier assembly for receiving the clean substrate from the apparatus and for retaining the substrate at the coating position; an evaporator adapted to hold a supply of the substance in the chamber and to evaporate and produce a discharge of the substance; and a collimator disposed within the chamber between the supply of the substance and the carrier assembly, the collimator being configured to define an aperture proximal to the substrate and to capture the discharge but for that which is directed through the aperture.
    Type: Grant
    Filed: August 7, 2019
    Date of Patent: August 10, 2021
    Assignee: INTLVAC INC.
    Inventors: Michael Nagy, Dino Deligiannis
  • Patent number: 11047042
    Abstract: Processes for forming Mo and W containing thin films, such as MoS2, WS2, MoSe2, and WSe2 thin films are provided. Methods are also provided for synthesizing Mo or W beta-diketonate precursors. Additionally, methods are provided for forming 2D materials containing Mo or W.
    Type: Grant
    Filed: May 24, 2016
    Date of Patent: June 29, 2021
    Assignee: ASM IP HOLDING B.V.
    Inventors: Tiina McKee, Timo Hatanpää, Mikko Ritala, Markku Leskelä
  • Patent number: 10895013
    Abstract: An electroless process for depositing gold (Au0) from a solution, comprising allowing gold (Au0) place from a solution of gold thiocyanate complex dissolved in a mixture of water-miscible organic solvent and water, or the deposition of gold (Au0) takes place on a deposition-directing layer comprising positively charged organic groups, said layer being provided on at least a portion of a surface of a substrate sought to be gold-coated.
    Type: Grant
    Filed: November 10, 2013
    Date of Patent: January 19, 2021
    Assignee: BEN GURION UNIVERSITY OF THE NEGEV RESEARCH AND DEVELOPMENT AUTHORITY
    Inventors: Raz Jelinek, Ahiud Morag
  • Patent number: 10815260
    Abstract: The present invention relates to a chemical vapor deposition raw material for producing a ruthenium thin film or a ruthenium compound thin film by a chemical deposition method, the chemical vapor deposition raw material including a dinuclear ruthenium complex in which carbonyl and a nitrogen-containing organic ligand (L) are coordinated to metallically bonded two rutheniums, the dinuclear ruthenium complex being represented by the following formula (1): A raw material according to the present invention is capable of producing a high-purity ruthenium thin film, and has a low melting point and moderate thermal stability. Thus, the raw material according to the present invention is suitable for use in electrodes of various kinds of devices.
    Type: Grant
    Filed: August 23, 2016
    Date of Patent: October 27, 2020
    Assignee: TANAKA KIKINZOKU KOGYO K.K.
    Inventors: Ryosuke Harada, Toshiyuki Shigetomi, Tasuku Ishizaka, Tatsutaka Aoyama
  • Patent number: 10801099
    Abstract: Powder compositions are described having, as constituents: an aluminum donor powder, an aluminum-containing activator powder comprising at least 50 wt. % KAlF4, and an inert filler powder. Related methods and coatings are also described.
    Type: Grant
    Filed: October 31, 2017
    Date of Patent: October 13, 2020
    Assignee: ENDURANCE TECHNOLOGIES, INC.
    Inventor: Eugene Medvedovski
  • Patent number: 10455167
    Abstract: This invention relates to a parameter detection system, an infrared band pass filter, and a glass substrate for the infrared band pass filter as well as a method for detecting parameters. The system, filter and substrate of this invention may be used in a number of devices, including smart phones, portable computers, computer watches, tablet computers, gaming devices, TV sets, personal computers, intercommunication systems, home automation systems, automotive security systems, 3D imaging systems, gesture control systems, touch sensors, fingerprint sensors, diagnostic systems, gaming devices, interactive displays, 3D sensing systems, home appliances, display devices, iris recognition systems and others. The system, filter and substrate of this invention may be used for a number of purposes including but not limited to iris recognition, 3D scanning, interactive display, biometric detection or measurement of biometric data, gesture control, gaming, fingerprint detection.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: October 22, 2019
    Assignee: Schott Glass Technologies (Suzhou) Co. Ltd.
    Inventor: Kazuyuki Inoguchi
  • Patent number: 10329663
    Abstract: Cobalt silylamide and cobalt carbonyl precursors are described, which are usefully employed in vapor deposition processes, such as chemical vapor deposition and atomic layer deposition, to deposit cobalt and to form high purity cobalt-containing films at temperatures below 400° C. These precursors and processes can be utilized in the manufacture of integrated circuitry and production of devices such as microprocessors, and logic and memory chips.
    Type: Grant
    Filed: January 5, 2017
    Date of Patent: June 25, 2019
    Assignee: Entegris, Inc.
    Inventor: David W. Peters
  • Patent number: 10253408
    Abstract: A novel compound represented by the general formula (I) or (II) below: [in the formula, each of R1 and R2 independently represent a C1˜12 hydrocarbon group, and Si(R3)3 is optionally substituted for a hydrogen atom in the hydrocarbon group; however, R1 and R2 are different groups; R3 represents a methyl or ethyl group; M represents a metal atom or silicon atom; and n is an integer from 1 to 4].
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: April 9, 2019
    Assignee: ADEKA CORPORATION
    Inventors: Tomoharu Yoshino, Masaki Enzu, Akihiro Nishida, Nana Sugiura
  • Patent number: 10135077
    Abstract: The present disclosure includes fuel cell bipolar plates and methods of forming a radical scavenging coating on a bipolar plate. The bipolar plates may include a steel substrate, a middle layer contacting the steel substrate and including a bulk material and a radical scavenging material, and a conductive layer contacting the middle layer. The radical scavenging material may include cerium, such as metallic cerium or a cerium oxide. The conductive layer may include a conductive carbon, such as a diamond-like carbon or coating (DLC). The radical scavenging material may comprise 0.1 wt % to 30 wt % of the middle layer. The middle layer may be deposited using PVD, and the radical scavenging material may be doped into the middle layer, for example, by co-sputtering it with the bulk material of the middle layer.
    Type: Grant
    Filed: February 12, 2015
    Date of Patent: November 20, 2018
    Assignee: FORD GLOBAL TECHNOLOGIES, LLC
    Inventors: Shinichi Hirano, Mark Stephen Ricketts, Kerrie K. Gath, Jun Yang, Chunchuan Xu
  • Patent number: 10121653
    Abstract: The present disclosure relates to a method and apparatus for performing a plasma enhanced ALD (PEALD) process that provides for improved step coverage. The process introduces a precursor gas into a processing chamber comprising a semiconductor workpiece. The first gas is ionized to form a plurality of ionized precursor molecules. A bias voltage is subsequently applied to the workpiece. The bias voltage attracts the ionized precursor molecules to the workpiece, so as to provide anisotropic coverage of the workpiece with the precursor gas. A reactant gas is introduced into the processing chamber. A plasma is subsequently ignited from the reactant gas, causing the reactant gas to react with the ionized precursor molecules that have been deposited onto the substrate to form a deposited layer on the workpiece.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: November 6, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lin-Jung Wu, Su-Horng Lin, Chi-Ming Yang
  • Patent number: 10024581
    Abstract: A solar power generation system according to the present invention comprises a heat pipe arranged so as to come into close contact with an absorption module, for absorbing heat from the absorption module and directly transferring heat to a heat conversion electricity generator, and thereby has the advantages of rendering the system compact by simplifying a heat transfer structure and more effectively transferring heat by increasing contact surface area with the absorption module. Also, ample heat storage space is secured by forming the heat pipe to have a larger volume (heat capacity) than an absorption heat pipe in the absorption module so that an ample heat source can be provided by the heat conversion electricity generator, even during weather conditions when solar radiation can fluctuate suddenly, thereby allowing more stable and efficient operation of the system.
    Type: Grant
    Filed: August 6, 2013
    Date of Patent: July 17, 2018
    Assignee: KOREA INSTITUTE OF ENERGY RESEARCH
    Inventors: Yong Heack Kang, Sang Nam Lee, Jong Kyu Kim, Hyun Jin Lee, Chang Kyun Yu, Hwan Ki Yoon, Kwan Kyo Chai
  • Patent number: 10011903
    Abstract: Manganese-containing film forming compositions, their preparation, and their use for the vapor deposition of films are disclosed. The manganese-containing film forming compositions comprise silylamide-containing precursors, particularly {Mn[N(SiMe2Et)2]2}2.
    Type: Grant
    Filed: December 31, 2015
    Date of Patent: July 3, 2018
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Stefan Wiese, Satoko Gatineau, Jean-Marc Girard
  • Patent number: 9994593
    Abstract: This invention provides a copper compound represented by General Formula (I) below. In General Formula (I), R1 to R3 independently represent a linear or branched alkyl group with a carbon number of 1 to 5; provided that R1 and R2 are a methyl group, R3 represents a linear or branched alkyl group with a carbon number of 2 to 5; and provided that R1 is a methyl group and R2 is an ethyl group, R3 represents a methyl group or a linear or branched alkyl group with a carbon number of 3 to 5. A starting material for forming a thin film of the present invention includes the copper compound represented by General Formula (I). The present invention can provide a copper compound which has a low melting point, can be conveyed in a liquid state, has a high vapor pressure, and is easily vaporizable, and also a starting material for forming a thin film which uses such a copper compound.
    Type: Grant
    Filed: April 8, 2015
    Date of Patent: June 12, 2018
    Assignee: ADEKA CORPORATION
    Inventors: Tomoharu Yoshino, Masaki Enzu, Atsushi Sakurai, Akihiro Nishida, Makoto Okabe
  • Patent number: 9869024
    Abstract: Methods and apparatus for depositing a cobalt layer in features formed on a substrate are provided herein. In some embodiments, a method of depositing a cobalt layer atop a substrate includes: (a) providing a substrate to a substrate support that is rotatable between two processing positions; (b) exposing the substrate to a cobalt containing precursor at a first processing position to deposit a cobalt layer atop the substrate, wherein the substrate at the first processing position is at a first temperature; (c) rotating the substrate to a second processing position; and (d) annealing the substrate at the second processing position to remove contaminants from the cobalt layer, wherein the substrate at the second processing position is at a second temperature greater than the first temperature.
    Type: Grant
    Filed: July 17, 2015
    Date of Patent: January 16, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Mayur Trivedi
  • Patent number: 9803283
    Abstract: A method for electroless deposition of aluminum on a substrate includes: activating the substrate; providing an aluminum ionic liquid; adding a reducing agent and an additive to the aluminum ionic liquid to form an electroless plating composition, wherein the additive may include a catalyst, an alloying element, or a combination thereof; and immersing the substrate in the electroless plating composition to have an aluminum layer deposited on the substrate. An article includes the electroless deposited aluminum layer.
    Type: Grant
    Filed: January 29, 2014
    Date of Patent: October 31, 2017
    Assignee: HRL Laboratories, LLC
    Inventors: John H. Martin, Joanna A. Kolodziejska, John J. Vajo, Jason A. Graetz, Christopher S. Roper
  • Patent number: 9783429
    Abstract: An object of the present invention is to provide a purification method to give dodecacarbonyl triruthenium (DCR) which serves as a raw material for chemical vapor deposition and does not cause the contamination of a thin film with impurities even when used to form a ruthenium thin film. The present invention relates to a method in which the dissolved oxygen concentration in the solvent is made 0.2 mg/L or less in at least a dissolution stage, and an organic ruthenium compound including DCR as a raw material for chemical vapor deposition is purified by a recrystallization method. The present invention allows a trace amount of impurities to be separated from DCR. When a ruthenium thin film is formed by use of DCR thus obtained, the formed film is hardly contaminated with impurities. Additionally, the purification method of the present invention is also applicable for recovering/purifying DCR after being used for the formation of a ruthenium thin film.
    Type: Grant
    Filed: June 2, 2015
    Date of Patent: October 10, 2017
    Assignee: TANAKA KIKINZOKU KOGYO K.K.
    Inventors: Hirofumi Nakagawa, Tasuku Ishizaka, Hirofumi Ishida, Akiko Kumakura
  • Patent number: 9562005
    Abstract: Porous metal-organic frameworks (MOFs) and metallated porous MOFs are provided. Also provided are methods of metallating porous MOFs using atomic layer deposition and methods of using the metallated MOFs as catalysts and in remediation applications.
    Type: Grant
    Filed: July 17, 2014
    Date of Patent: February 7, 2017
    Assignee: Northwestern University
    Inventors: Wojciech Bury, Omar K. Farha, Joseph T. Hupp, Joseph E. Mondloch
  • Patent number: 9540401
    Abstract: The invention relates to a method for the cost-effective and environmentally friendly production of dialkyl indium chloride in high yield and with high selectivity and purity. The dialkyl indium chloride produced according to the invention is particularly suitable, also as a result of the high purity and yield, for the production, on demand, of indium-containing precursors in high yield and with high selectivity and purity. As a result of the high purity, the indium-containing precursors that can be produced are particularly suitable for metal organic chemical vapor deposition (MOCVD) or metal organic vapor phase epitaxy (MOVPE). The novel method according to the invention is characterized by the improved execution of the method, in particular a rapid process control. Owing to targeted and extensive use of raw materials that are cost-effective and have a low environmental impact, the method is also suitable for use on an industrial scale.
    Type: Grant
    Filed: August 18, 2014
    Date of Patent: January 10, 2017
    Assignee: UMICORE AG & CO. KG
    Inventors: Joerg Sundermeyer, Annika Frey, Wolf Schorn, David Grosse-Hagenbrock, Ralf Karch, Andreas Rivas-Nass, Eileen Woerner, Angelino Doppiu
  • Patent number: 9540408
    Abstract: Cobalt silylamide and cobalt carbonyl precursors are described, which are usefully employed in vapor deposition processes, such as chemical vapor deposition and atomic layer deposition, to deposit cobalt and to form high purity cobalt-containing films at temperatures below 400° C. These precursors and processes can be utilized in the manufacture of integrated circuitry and production of devices such as microprocessors, and logic and memory chips.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: January 10, 2017
    Assignee: Entegris, Inc.
    Inventor: David W. Peters
  • Patent number: 9499411
    Abstract: The present invention provides a method for producing DCR that can efficiently sublimate a large amount of crude DCR to stably supply DCR. Also, the present invention relates to a sublimation apparatus applicable to the production method. The method for producing an organoruthenium compound for a chemical vapor deposition raw material containing dodecacarbonyl triruthenium (DCR) includes the step for purifying DCR by separating impurity elements from crude DCR by a sublimation method, and in the purification step, crude DCR is heated and sublimated in an atmosphere having a carbon monoxide concentration of 30 to 100% and then cooled to precipitate DCR. According to the present invention, a large amount of crude DCR can be efficiently sublimated, and thus a large amount of DCR can be supplied stably.
    Type: Grant
    Filed: October 14, 2014
    Date of Patent: November 22, 2016
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventors: Hirofumi Nakagawa, Tasuku Ishizaka, Hirofumi Ishida, Ken Hagiwara, Akiko Kumakura
  • Patent number: 9493404
    Abstract: The present invention relates to an oxo-nitrogenated complex of cobalt having the general formula (I) wherein the residues R1, R2, R3, X1 and X2 are as defined in the claims. Said oxo-nitrogenated complex of cobalt having general formula (I) can be advantageously used in a catalytic system for the (co) polymerization of conjugated dienes.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: November 15, 2016
    Assignee: Versalis S.P.A.
    Inventors: Anna Sommazzi, Giovanni Ricci, Francesco Masi, Giuseppe Leone
  • Patent number: 9425122
    Abstract: A method for manufacturing an electronic component packages is provided, wherein a package precursor is provided, in which an electronic component is embedded in a sealing resin layer such that an electrode of the electronic component is exposed at a surface of the sealing resin layer. A combination of a formation process of a plurality of metal plating layers and a patterning process of the plurality of metal plating layers is provided to form a step-like metal plating layer, the formation process being performed by sequential dry and wet plating processes with respect to the package precursor, and the patterning process being performed by a patterning of at least two of the plurality of metal plating layers.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: August 23, 2016
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Koji Kawakita, Seiichi Nakatani, Susumu Sawada, Yoshihisa Yamashita
  • Patent number: 9328415
    Abstract: Methods and precursors are provided for deposition of films comprising manganese on surfaces using metal coordination complexes comprising a diazabutadiene-based ligand. Certain methods comprise exposing a substrate surface to a manganese precursor, and exposing the substrate surface to a tertiary amine.
    Type: Grant
    Filed: June 5, 2014
    Date of Patent: May 3, 2016
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Jeffrey W. Anthis
  • Patent number: 9153935
    Abstract: An electronic unit includes: an electronic device; and a protective film including an aluminum oxide layer and silicon oxide, the aluminum oxide layer with which the electronic device is covered, and the silicon oxide being scattered on a surface of the aluminum oxide layer.
    Type: Grant
    Filed: July 10, 2014
    Date of Patent: October 6, 2015
    Assignee: SONY CORPORATION
    Inventors: Yoshiaki Watanabe, Takayuki Kawasumi
  • Patent number: 9103019
    Abstract: Methods and compositions for depositing a metal containing thin film on a substrate comprises introducing a vapor phase metal-organic precursor into a reaction chamber containing one or more substrates. The precursor has at least one ?-diketiminato ligand, and has the general formula: M(R1C(NR4)CR2C(NR5)R3)2Ln wherein M is a metal selected from nickel, cobalt, ruthenium, iridium, palladium, platinum, silver and gold. Each of R1-5 is an organic ligand independently selected from H; and a C1-C4 linear or branched, alky group, alkylsilyl group, alkylamide group, alkoxide group, or alkylsilylamide group. Each L is independently selected from: a hydrocarbon; an oxygen-containing hydrocarbon; an amine; a polyamine; a bipyridine; an oxygen containing heterocycle; a nitrogen containing heterocycle; and combinations thereof; and n is an integer ranging from 0 to 4, inclusive. A metal containing film is deposited onto the substrate, while the substrate is maintained at a temperature between about 100° C.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: August 11, 2015
    Assignee: American Air Liquide, Inc.
    Inventors: Christian Dussarrat, Benjamin J. Feist
  • Patent number: 9067958
    Abstract: The present disclosure is directed at the synthesis of transition metal bis-diazabutadienes as precursors to enable atomic layer deposition (ALD) or chemical vapor deposition (CVD) of transition metals on metallic surfaces. The transition metal bis-diazabutadienes may be prepared in a two-step synthetic procedure at relatively high yields and are particularly suitable for industrial scale-up.
    Type: Grant
    Filed: October 14, 2013
    Date of Patent: June 30, 2015
    Assignee: Intel Corporation
    Inventor: Patricio E. Romero
  • Publication number: 20150125606
    Abstract: A method of forming an etching mask structure on an insulating film containing silicon and oxygen includes forming a first silicon film on the insulating film formed on a substrate, forming a reaction blocking layer on a surface layer of the first silicon film, forming a second silicon film on the reaction blocking layer; and forming a tungsten film by replacing silicon of the second silicon film with tungsten by supplying a process gas containing a tungsten compound onto the second silicon film.
    Type: Application
    Filed: October 30, 2014
    Publication date: May 7, 2015
    Inventors: Masahisa WATANABE, Hiroshi KUBOTA, Kazuaki CHIBA
  • Publication number: 20150118100
    Abstract: Methods are provided for deposition of films comprising manganese on surfaces using metal coordination complexes comprising an amidoimino-based ligand. Certain methods comprise exposing a substrate surface to a manganese precursor, and exposing the substrate surface to a co-reagent.
    Type: Application
    Filed: October 16, 2014
    Publication date: April 30, 2015
    Inventors: Jeffrey W. Anthis, David Thompson, Ravi Kanjolia, Shaun Garrett
  • Publication number: 20150110958
    Abstract: Disclosed are Germanium- and Zirconium-containing precursors having one of the following formulae: wherein each R1, R2, R3, R4, R5, R6, R7, R8, R9 and R10 is independently selected from H; a C1-C5 linear, branched, or cyclic alkyl group; and a C1-C5 linear, branched, or cyclic fluoroalkyl groups. Also disclosed are methods of synthesizing the disclosed precursors and using the same to deposit Zirconium-containing films on substrates via vapor deposition processes.
    Type: Application
    Filed: December 23, 2014
    Publication date: April 23, 2015
    Inventors: Clement LANSALOT-MATRAS, Julien LIEFFRIG, Hana ISHII, Christian DUSSARRAT
  • Patent number: 9005705
    Abstract: A method for producing a substrate with a copper or a copper-containing coating is disclosed. The method comprises a first step wherein a first precursor, a second precursor and a substrate are provided. The first precursor is a copper complex that contains no fluorine and the second precursor is selected from a ruthenium complex, a nickel complex, a palladium complex or mixtures thereof. In the second step, a layer is deposited at least on partial regions of a surface of the substrate by using the first precursor and the second precursor by means of atomic layer deposition (ALD). The molar ratio of the first precursor:second precursor used for the ALD extends from 90:10 to 99.99:0.01. The obtained layer contains copper and at least one of ruthenium, nickel and palladium. Finally, a reduction is performed step in which a reducing agent acts on the substrate obtained after depositing the copper-containing layer.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: April 14, 2015
    Assignees: Fraunhofer-Gesellschaft zur Foerderung der Angewandten Forschung E.V., Technische Universitaet Chemnitz
    Inventors: Thomas Waechtler, Stefan Schulz, Thomas Gessner, Steve Mueller, André Tuchscherer, Heinrich Lang
  • Publication number: 20150064483
    Abstract: A method of depositing a film of a metal having a volatilization temperature higher than 350° C., as well as, a composite material including the same are disclosed. The method can include providing the source material in a vacuum deposition processing chamber, and providing a substrate in the vacuum deposition processing chamber. The substrate can be spaced apart from, but in fluid communication with, the source material, and also maintained at a substrate temperature that is lower than the volatilization temperature. The method can also include reducing an internal pressure of the vacuum deposition processing chamber to a pressure between 0.1 and 14,000 pascals; volatilizing the source material into a volatilized metal by heating the source material to a first temperature that is higher than the volatilization temperature; and transporting the volatilized metal to the substrate using a heated carrier gas, whereby the volatilized metal deposits on the substrate and forms the metal film.
    Type: Application
    Filed: September 3, 2014
    Publication date: March 5, 2015
    Inventors: Mark E. Thompson, Francisco F. Navarro
  • Patent number: 8962875
    Abstract: Organometallic compounds suitable for use as vapor phase deposition precursors for metal-containing films are provided. Methods of depositing metal-containing films using certain organometallic precursors are also provided. Such metal-containing films are particularly useful in the manufacture of electronic devices.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: February 24, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Anthony Thomas Norman, Xinjian Lei
  • Publication number: 20150050431
    Abstract: The present invention relates to a ruthenium compound including a specific ligand structure of 1-ethyl-1,4-cyclohexadiene, 1,3-butadiene or isoprene and having superior thermal stability, vaporizing property and step coverage, and a thin film deposited using same.
    Type: Application
    Filed: March 30, 2012
    Publication date: February 19, 2015
    Applicant: HANSOL CHEMICAL CO., LTD.
    Inventors: Jung Woo Park, Jun Young Kim, Kwang deok Lee, Whee Won Jin
  • Publication number: 20150044368
    Abstract: Provided is a placing table structure which is disposed in a processing container and has a subject to be processed thereon so as to form a thin film on the subject in the processing container by using raw material gas which generates thermal decomposition reaction having reversibility. The placing table structure is provided with a placing table for the purpose of placing the subject to be processed on a placing surface, i.e., an upper surface of the placing table structure, and a decomposition suppressing gas supply means which is arranged in the placing table for the purpose of supplying decomposition suppressing gas, which suppresses thermal decomposition of the raw material gas, toward a peripheral section of the subject placed on the placing surface of the placing table.
    Type: Application
    Filed: October 10, 2014
    Publication date: February 12, 2015
    Inventors: Atsushi Gomi, Yasushi Mizusawa, Tatsuo Hatano, Masamichi Hara, Kaoru Yamamoto, Satoshi Taga
  • Publication number: 20150030772
    Abstract: A chemical vapor deposition raw material for producing a platinum thin film or a platinum compound thin film by a chemical vapor deposition method, wherein the chemical vapor deposition raw material includes an organoplatinum compound having cyclooctadiene and alkyl anions coordinated to divalent platinum, and the organoplatinum compound is represented by the following formula. Here, one in which R1 and R2 are any combination of propyl and methyl, propyl and ethyl, or ethyl and methyl is particularly preferred. wherein R1 and R2 are alkyl groups, and R1 and R2 are different; and a number of carbon atoms of R1 and R2 is 3 to 5 in total.
    Type: Application
    Filed: October 12, 2012
    Publication date: January 29, 2015
    Applicant: TANAKA KIKINZOKU KOGYO K.K.
    Inventors: Masayuki Saito, Kazuharu Suzuki, Toshiyuki Shigetomi, Shunichi Nabeya
  • Publication number: 20150004314
    Abstract: A first compound having an atom in an oxidized state is reacted with a bis(trimethylsilyl) six-membered ring system or related compound to form a second compound having the atom in a reduced state relative to the first compound. The atom in an oxidized state is selected from the group consisting of Groups 2-12 of the Periodic Table, the lanthanides, As, Sb, Bi, Te, Si, Ge, Sn, and Al.
    Type: Application
    Filed: June 27, 2014
    Publication date: January 1, 2015
    Inventors: Charles H. WINTER, Joseph Peter KLESKO
  • Patent number: 8865255
    Abstract: A process for setting the average flow rate within a hollow component is provided. The process includes setting a relatively small wall thickness in a first region with a relatively large flow cross section using a first diffusion coating process and setting a relatively large wall thickness by a second different diffusion process in a second region with a relatively small flow cross section. The use of different diffusion coatings in a component allows the flow of coolant through a component to be set in a controlled manner.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: October 21, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventors: Fathi Ahmad, Knut Halberstadt, Christian Lerner
  • Publication number: 20140287141
    Abstract: The invention relates to a process for preparing trialkylmetal compounds of the general formula R3M (where M=metal of group IIIA of the Periodic Table of the Elements (PTE), preferably gallium or indium, and R?C1-C5-alkyl, preferably methyl or ethyl). The process is based on the reaction of metal trichloride (MeCl3) with alkylaluminium sesquichloride (R3Al2Cl3) in the presence of at least one alkali metal halide as auxiliary base. The reaction mixture is heated to a temperature above 120° C. and the trialkylmetal compound is separated off from the reaction mixture via a separator, with partially alkylated products being at the same time recirculated to the reaction mixture. In a further step, the reaction mixture is heated to a maximum of 350° C. and the remaining alkylated and partially alkylated products are separated off. The products obtained in this way can optionally be recycled in the process.
    Type: Application
    Filed: November 28, 2012
    Publication date: September 25, 2014
    Applicant: Umicore AG. & Co. KG
    Inventors: Ralf Karch, Andreas Rivas-Nass, Annika Frey, Tobias Burkert, Eileen Woerner, Angelino Doppiu
  • Publication number: 20140242298
    Abstract: Disclosed are homoleptic diazabutadiene nickel precursors used for the vapor deposition of nickel-containing films. The precursors have the general formula Ni(R-DAD)2, wherein R-DAD stands for substituted 1,4-diazabuta-1,3-diene ligands. The sole presence of the Ni—N bonds was also considered to avoid too high intrusion of other elements, such as carbon, into the nickel-containing films. The flexibility of the Ni—N bond in terms of film deposition also allows using the molecules for nickel, nickel-nitride, nickel-carbonitride, nickel oxide or any other type of nickel-containing films. The nickel-containing film depositions can be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD or any other type of depositions methods.
    Type: Application
    Filed: September 27, 2012
    Publication date: August 28, 2014
    Inventors: Clément Lansalot-Matras, Julien Gatineau, Benjamin J. Jurcik
  • Patent number: 8778445
    Abstract: Methods and systems for forming modified metal coatings on a gas turbine engine component (20). The gas turbine engine component (20) is placed inside a container (50) having a known volume, along with a source material (32) containing a secondary element. The container (50), gas turbine engine component (20), and the source material (32) inside the container are placed into an oxygen-depleted space (18) inside a reaction chamber (12). At least one temperature for the source material (32) is determined based upon the known volume of the container (50) and an amount of the source material (32). While in the oxygen-depleted space (18), the source material (32) is heated to the at least one temperature sufficient to release a vapor phase reactant (35) containing the secondary element.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: July 15, 2014
    Assignee: MT Coatings, LLC
    Inventor: David C. Fairbourn
  • Patent number: 8758867
    Abstract: Methods and compositions for depositing metal films are described herein. In general, the disclosed methods utilize precursor compounds comprising gold, silver or copper. More specifically, the disclosed precursor compounds utilize neutral ligands derived from ethylene or acetylene.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: June 24, 2014
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude
    Inventors: Benjamin J. Jurcik, Jr., Christian Dussarrat
  • Patent number: 8753718
    Abstract: The invention concerns the use of the ruthenium-containing precursor having the formula (Rn-chd)Ru(CO)3, wherein: (Rn-chd) represents a cyclohexadiene (chd) ligand substituted with n substituents R, any R being in any position on the chd ligand; n is an integer comprised between 1 and 8 (1?n?8) and represents the number of substituents on the chd ligand; R is selected from the group consisting of C1-C4 linear or branched alkyls, alkylamides, alkoxides, alkylsilylamides, amidinates, carbonyl and/or fluoroalkyl for R being located in any of the eight available position on the chd ligand, while R can also be oxygen O for substitution on the C positions in the chd cycle which are not involved in a double bond for the deposition of a Ru containing film on a substrate.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: June 17, 2014
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Christian Dussarrat, Julien Gatineau
  • Patent number: 8734902
    Abstract: Methods and precursors are provided for deposition of elemental manganese films on surfaces using metal coordination complexes comprising an eta-3-bound monoanionic four-electron donor ligands selected from amidinate, mixed ene-amido and allyl, or eta-2 bound amidinate ligand. The ligands are selected from amidinate, ene-amido, and allyl.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: May 27, 2014
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Jeffrey W. Anthis
  • Patent number: 8721901
    Abstract: Embodiments disclosed include methods of processing substrates, including methods of forming conductive connections to substrates. In one embodiment, a method of processing a substrate includes forming a material to be etched over a first material of a substrate. The material to be etched and the first material are of different compositions. The material to be etched is etched in a dry etch chamber to expose the first material. After the etching, the first material is contacted with a non-oxygen-containing gas in situ within the dry etch chamber effective to form a second material physically contacting onto the first material. The second material comprises a component of the first material and a component of the gas. In one embodiment, the first material is contacted with a gas that may or may not include oxygen in situ within the dry etch chamber effective to form a conductive second material.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: May 13, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Gurtej S. Sandhu
  • Patent number: 8716509
    Abstract: The present invention relates to a process for preparing ruthenium(0)-olefin complexes of the (arene)(diene)Ru(0) type by reacting a ruthenium starting compound of the formula Ru(+II)(X)p(Y)q (in which X=an anionic group, Y=an uncharged two-electron donor ligand, p=1 or 2, q=an integer from 1 to 6), with a cyclohexadiene derivative or a diene mixture comprising a cyclohexadiene derivative, in the presence of a base. In this process, the arene bound in the (arene)(diene)Ru(0) complex is formed from this cyclohexadiene derivative by oxidation. Suitable ruthenium(II) starting compounds are, for example, RuCl2(acetonitrile)4, RuCl2(pyridine)4 or RuCl2(DMSO)4. The bases used are inorganic or organic bases. The ruthenium(0)-olefin complexes prepared by the process according to the invention have a high purity and can be used as precursors for homogeneous catalysts, for preparation of functional ruthenium- or ruthenium oxide-containing layers and for therapeutic applications.
    Type: Grant
    Filed: November 11, 2010
    Date of Patent: May 6, 2014
    Assignee: Umicore AG & Co. KG
    Inventors: Angelino Doppiu, Andreas Rivas-Nass, Ralf Karch, Roland Winde, Eileen Woerner