Silicon Dioxide Coating Patents (Class 427/255.37)
  • Patent number: 9064693
    Abstract: Deposition of thin film dielectrics, and in particular for chemical vapor deposition of nano-layer structures comprising multiple layers of dielectrics, such as, silicon dioxide, silicon nitride, silicon oxynitride, and/or other silicon compatible dielectrics includes post-deposition surface treatment of deposited layers with a metal or semiconductor source gas, e.g., a silicon source gas. Deposition of silicon containing dielectrics comprises silane-based chemistry for deposition of doped or undoped dielectric layers, and surface treatment of deposited dielectric layers with silane. Surface treatment provides dielectric layers with improved layer-to-layer uniformity and lateral continuity, and substantially atomically flat dielectric layers suitable for multilayer structures for electroluminescent light emitting structures, e.g., active layers containing rare earth containing luminescent centers.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: June 23, 2015
    Assignee: Kirsteen Mgmt. Group LLC
    Inventors: Jean-Paul Noel, Ming Li
  • Publication number: 20150118395
    Abstract: Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris(tert-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300° C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl)amide to deposit lithium phosphate films on substrates heated to 250° C. Supplying the vapors in alternating pulses produces these same compositions with a very uniform distribution of thickness and excellent step coverage.
    Type: Application
    Filed: December 31, 2014
    Publication date: April 30, 2015
    Inventors: Roy Gerald GORDON, Jill S. BECKER, Dennis HAUSMANN, Seigi SUH
  • Publication number: 20150103399
    Abstract: A method of producing a glass substrate having a first layer formed on a surface of the substrate by low-temperature CVD includes preparing the glass substrate and forming the first layer on the glass substrate by the low-temperature CVD. In the glass substrate after forming the first layer, an integrated value after a baseline correction in a wavenumber range of 2600 cm?1 to 3800 cm?1 in a peak due to OH groups obtained by an FTIR measurement on the first layer is 9.0 or less, and the C content of the first layer is 1.64 at % or less.
    Type: Application
    Filed: November 24, 2014
    Publication date: April 16, 2015
    Applicant: Asahi Glass Company, Limited
    Inventors: Hiroshi HANEKAWA, Nobutaka Aomine, Yuki Aoshima, Hirotomo Kawahara, Kazunobu Maeshige
  • Patent number: 8951342
    Abstract: A chemical vapor deposition method for producing a porous organosilica glass film comprising: introducing into a vacuum chamber gaseous reagents including at least one precursor selected from the group consisting of an organosilane and an organosiloxane, and a porogen that is distinct from the precursor; applying energy to the gaseous reagents in the vacuum chamber to induce reaction of the gaseous reagents to deposit a preliminary film on the substrate, wherein the preliminary film contains the porogen; and removing from the preliminary film substantially all of the porogen to provide the porous film with pores and a dielectric constant less than 2.6.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: February 10, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Raymond Nicholas Vrtis, Mark Leonard O'Neill, Jean Louise Vincent, Aaron Scott Lukas, Mary Kathryn Haas
  • Publication number: 20150037802
    Abstract: The present invention provides a novel method to fabricate silica nanostructures on thin polymer films based on silica deposition and self-wrinkling induced by thermal shrinkage. These micro- and nano-scale structures have vastly enlarged the specific area of silica, thus the silica nanomembranes can be used for solid phase extraction of nucleic acids. The inventive silica nanomembranes are suitable for nucleic acid purification and isolation and demonstrated better performance than commercial particles in terms of DNA recovery yield and integrity. In addition, the silica nanomembranes have extremely high nucleic acid capacity due to its significantly enlarged specific surface area of silica. Methods of use and devices comprising the silica nanomembranes are also provided.
    Type: Application
    Filed: July 28, 2014
    Publication date: February 5, 2015
    Inventors: Tza-Huei Wang, Yi Zhang
  • Patent number: 8932676
    Abstract: Provided is a method for producing a gas barrier plastic molded body by forming a gas barrier thin film which is substantially colorless and has gas barrier properties, on the surface of a plastic molded body by a heating element CVD method using only raw material gases that are highly safe.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: January 13, 2015
    Assignee: Kirin Beer Kabushiki Kaisha
    Inventors: Masaki Nakaya, Midori Takiguchi, Mari Shimizu, Aiko Sato, Hiroyasu Tabuchi, Eitaro Matsui
  • Publication number: 20140227512
    Abstract: The invention provides methods for forming silicon oxide-containing layer(s) on a substrate, such as glass, by heating a substrate, vaporizing at least one precursor comprising a monoalkylsilane having an alkyl group with greater than two carbon atoms to form a vaporized precursor stream, and contacting a surface of the heated substrate with the vaporized precursor stream at about atmospheric pressure to deposit one or more layers comprising silicon oxide onto the surface of the substrate. The invention is particularly useful for applying an anti-iridescent coating to glass in an online float glass process.
    Type: Application
    Filed: September 13, 2012
    Publication date: August 14, 2014
    Applicant: Arkema Inc.
    Inventors: Ryan C. Smith, Jeffery L. Stricker
  • Patent number: 8737036
    Abstract: This disclosure provides (a) methods of making an oxide layer (e.g., a dielectric layer) based on titanium oxide, to suppress the formation of anatase-phase titanium oxide and (b) related devices and structures. A metal-insulator-metal (“MIM”) stack is formed using an ozone pretreatment process of a bottom electrode (or other substrate) followed by an ALD process to form a TiO2 dielectric, rooted in the use of an amide-containing precursor. Following the ALD process, an oxidizing anneal process is applied in a manner is hot enough to heal defects in the TiO2 dielectric and reduce interface states between TiO2 and electrode; the anneal temperature is selected so as to not be so hot as to disrupt BEL surface roughness. Further process variants may include doping the titanium oxide, pedestal heating during the ALD process to 275-300 degrees Celsius, use of platinum or ruthenium for the BEL, and plural reagent pulses of ozone for each ALD process cycle.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: May 27, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Hanhong Chen, Nobumichi Fuchigami, Imran Hashim, Edward L. Haywood, Pragati Kumar, Sandra G. Malhotra, Monica Sawkar Mathur, Prashant B. Phatak, Sunil Shanker
  • Patent number: 8734903
    Abstract: A chemical vapor deposition process for the deposition of a silica layer on a glass substrate is provided. The process includes providing a glass substrate. The process also includes forming a gaseous precursor mixture comprising a silane compound, oxygen, water vapor, and a radical scavenger and directing the precursor mixture toward and along the glass substrate. The mixture reacts over the glass substrate to form a silica coating thereon.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: May 27, 2014
    Assignee: Pilkington Group Limited
    Inventor: Douglas M. Nelson
  • Patent number: 8715779
    Abstract: Apparatus, systems and methods for characteristics of glass components through use of one or more coatings are disclosed. The coatings are typically thin coatings, such as thin film coatings. The coatings can serve to increase strength of the glass components and/or provide durable user interfacing surfaces. Accordingly, glass articles that have received coatings are able to be not only thin but also sufficiently strong so as to resist damage from impact events. The coated glass articles are well suited for use in consumer products, such as consumer electronic devices (e.g., electronic devices).
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: May 6, 2014
    Assignee: Apple Inc.
    Inventors: Anna-Katrina Shedletsky, Christopher Prest
  • Patent number: 8703624
    Abstract: Described herein are methods of forming dielectric films comprising silicon, such as, but not limited to, silicon oxide, silicon oxycarbide, silicon carbide, and combinations thereof, that exhibit at least one of the following characteristics: low wet etch resistance, a dielectric constant of 6.0 or below, and/or can withstand a high temperature rapid thermal anneal process. Also disclosed herein are the methods to form dielectric films or coatings on an object to be processed, such as, for example, a semiconductor wafer.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: April 22, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Liu Yang, Manchao Xiao, Kirk Scott Cuthill, Bing Han, Mark Leonard O'Neill
  • Patent number: 8617704
    Abstract: A coated medical implant, such as a coated dental component, is provided, the coated medical implant including a substrate surface formed of a material comprising available hydroxyl groups and a silicon oxide coating layer chemisorbed on the substrate surface. A method for the preparation of such coated implants is also provided, the method involving application of the silicon oxide coating layer to the substrate surface by chemical vapor deposition. A dental structure is also provided, which includes a first dental component having a substrate surface formed of a material comprising available hydroxyl groups; a silicon oxide coating layer chemisorbed on the substrate surface; a silane coupling agent overlying and covalently attached to the silicon oxide layer; a dental cement overlying and coupled to the silane coupling agent; and a second dental component having a surface bonded to the dental cement.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: December 31, 2013
    Assignees: Research Triangle Institute, Nova Southeastern University
    Inventors: Jeffrey Robert Piascik, Jeffrey Yates Thompson, Sonia Grego, Brian R. Stoner
  • Patent number: 8613976
    Abstract: A method of forming a silicon oxide film, comprising the steps of: providing a substrate into a reaction chamber; injecting into the reaction chamber at least one silicon containing compound where the at least one silicon containing compound is bis(diethylamino)silane; injecting Oxygen into the reaction chamber and at least one other O-containing gas selected from ozone and water; reacting in the reaction chamber by chemical vapor deposition at a temperature below 400 C the at least one silicon containing compound and the at least one oxygen containing gas in order to obtain the silicon oxide film deposited onto the substrate.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: December 24, 2013
    Assignee: L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Christian Dussarrat, Ikuo Suzuki, Kazutaka Yanagita, Julien Gatineau, Eri Tsukada
  • Publication number: 20130333835
    Abstract: Hybrid inorganic-organic, polymeric alloys are prepared by combining atomic layer deposition and molecular layer deposition techniques provide barrier protection against intrusion of atmospheric gases such as oxygen and water vapor. The alloy may be formed either directly on objects to be protected, or on a carrier substrate to form a barrier structure that subsequently may be employed to protect an object. The alloy thus formed is beneficially employed in constructing electronic devices such as photovoltaic cell arrays, organic light-emitting devices, and other optoelectronic devices.
    Type: Application
    Filed: June 14, 2012
    Publication date: December 19, 2013
    Applicant: E I DU PONT DE NEMOURS AND COMPANY
    Inventors: Peter Francis Carcia, Robert Scott Mclean
  • Patent number: 8591990
    Abstract: An arrangement of elongated nanowires that include titanium silicide or tungsten silicide may be grown on the exterior surfaces of many individual electrically conductive microfibers of much larger diameter. Each of the nanowires is structurally defined by an elongated, centralized titanium silicide or tungsten silicide nanocore that terminates in a distally spaced gold particle and which is co-axially surrounded by a removable amorphous nanoshell. A gold-directed catalytic growth mechanism initiated during a low pressure chemical vapor deposition process is used to grow the nanowires uniformly along the entire length and circumference of the electrically conductive microfibers where growth is intended. The titanium silicide- or tungsten silicide-based nanowires can be used in a variety electrical, electrochemical, and semiconductor applications.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: November 26, 2013
    Assignees: GM Global Technology Operations LLC, The University of Western Ontario
    Inventors: Mei Cai, Xueliang Sun, Yong Zhang, Mohammad Norouzi Banis, Ruying Li
  • Patent number: 8551851
    Abstract: This disclosure provides (a) methods of making an oxide layer (e.g., a dielectric layer) based on titanium oxide, to suppress the formation of anatase-phase titanium oxide and (b) related devices and structures. A metal-insulator-metal (“MIM”) stack is formed using an ozone pretreatment process of a bottom electrode (or other substrate) followed by an ALD process to form a TiO2 dielectric, rooted in the use of an amide-containing precursor. Following the ALD process, an oxidizing anneal process is applied in a manner is hot enough to heal defects in the TiO2 dielectric and reduce interface states between TiO2 and electrode; the anneal temperature is selected so as to not be so hot as to disrupt BEL surface roughness. Further process variants may include doping the titanium oxide, pedestal heating during the ALD process to 275-300 degrees Celsius, use of platinum or ruthenium for the BEL, and plural reagent pulses of ozone for each ALD process cycle.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: October 8, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Hanhong Chen, Pragati Kumar, Sunil Shanker, Edward Haywood, Sandra Malhotra, Imran Hashim, Nobi Fuchigami, Prashant Phatak, Monica Mathur
  • Patent number: 8524319
    Abstract: Methods for producing crucibles for holding molten material that contain a reduced amount of gas pockets are disclosed. The methods may involve use of molten silica that may be outgassed prior to or during formation of the crucible. Crucibles produced from such methods and ingots and wafers that are produced from crucibles with a reduced amount of gas pockets are also disclosed.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: September 3, 2013
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Steven L. Kimbel, Harold W. Korb, Richard J. Phillips, Shailendra B. Rathod
  • Patent number: 8460753
    Abstract: Described herein are methods to form silicon dioxide films that have extremely low wet etch rate in HF solution using a thermal CVD process, ALD process or cyclic CVD process in which the silicon precursor is selected from one of: R1nR2mSi(NR3R4)4-n-m; and, a cyclic silazane of (R1R2SiNR3)p, where R1 is an alkenyl or an aromatic, such as vinyl, allyl, and phenyl; R2, R3, and R4 are selected from H, alkyl with C1-C10, linear, branched, or cyclic, an alkenyl with C2-C10 linear, branched, or cyclic, and aromatic; n=1-3, m=0-2; p=3-4.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: June 11, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Liu Yang, Kirk Scott Cuthill, Heather Regina Bowen, Bing Han, Mark Leonard O'Neill
  • Publication number: 20130143018
    Abstract: Coated polymer compositions having improved dielectric strength are disclosed. The coated polymer compositions can comprise a polymer substrate and an inorganic material. This abstract is intended as a scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 6, 2013
    Applicant: Sabic Innovative Plastics IP B.V.
    Inventor: Sabic Innovative Plastics IP B.V.
  • Patent number: 8455293
    Abstract: A method for processing solar cells comprising: providing a vertical furnace to receive an array of mutually spaced circular semiconductor wafers for integrated circuit processing; composing a process chamber loading configuration for solar cell substrates, wherein a size of the solar cell substrates that extends along a first surface to be processed is smaller than a corresponding size of the circular semiconductor wafers, such that multiple arrays of mutually spaced solar cell substrates can be accommodated in the process chamber, loading the solar cell substrates into the process chamber; subjecting the solar cell substrates to a process in the process chamber.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: June 4, 2013
    Assignee: ASM International N.V.
    Inventors: Chris G. M. de Ridder, Klaas P. Boonstra, Adriaan Garssen, Frank Huussen
  • Publication number: 20130017328
    Abstract: A film forming method includes a step of arranging a wafer, on which an insulating film is formed, in a processing chamber of a film forming apparatus and a surface modification step of supplying a compound gas containing silicon atoms and an OH group-donating gas into the processing chamber so that Si—OH groups are formed on the surface of the insulating film. The film forming method further includes a film forming step of supplying a film forming gas containing a manganese-containing material into the processing chamber so that a manganese-containing film is formed on the surface of the insulating film on which the Si—OH groups have been formed through a CVD method.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 17, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hidenori MIYOSHI, Hitoshi Itoh, Hiroshi Sato
  • Patent number: 8354138
    Abstract: A preparing method for coating polymethylmethacrylate (PMMA) particles with silicon dioxide is disclosed and includes the following steps of: preparing a silicon dioxide solution by mixing a silicon dioxide powder and a solvent; adding a dispersant-and-interface-modifier agent into the silicon dioxide solution; performing a wet grinding to the silicon dioxide solution with the dispersant-and-interface-modifier agent so as to obtain a plurality of nano-sized silicon dioxide particles with negative charge; performing an interface modification to a plurality of PMMA particles to be charged with positive charge; adding the PMMA particles into the silicon dioxide solution; making the PMMA particles adsorb the nano-sized silicon dioxide particles; and performing a solid-liquid separation process to the silicon dioxide solution so as to obtain the chemical composite particles.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: January 15, 2013
    Assignee: Chung-Shan Institute of Science and Technology, Armaments Bureau, Ministry of National Defense
    Inventors: Yen-Chung Chen, Hsiou-Jeng Shy, Ming-Der Ger, Hung-Fang Huang, Shang-Wanq Yeh
  • Patent number: 8323737
    Abstract: The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: December 4, 2012
    Assignee: ASM International N.V.
    Inventor: Arthur Sherman
  • Patent number: 8236379
    Abstract: The present invention is related to a chemical vapor deposition method of depositing layers of materials to provide super-hydrophilic surface properties, or super-hydrophobic surface properties, or combinations of such properties at various locations on a given surface. The invention also relates to electronic applications which make use of super-hydrophobic surface properties, and to biological applications which make use of super-hydrophilic surface properties.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: August 7, 2012
    Assignee: Applied Microstructures, Inc.
    Inventors: Boris Kobrin, Jeffrey D. Chin, Benigno A. Janeiro, Romuald Nowak
  • Patent number: 8236097
    Abstract: This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <300° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least one disilane derivative compound that is fully substituted with alkylamino and/or dialkylamino functional groups.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: August 7, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ziyun Wang, Chongying Xu, Thomas H. Baum, Bryan C. Hendrix, Jeffrey F. Roeder
  • Patent number: 8236708
    Abstract: Aspects of the disclosure pertain to methods of depositing dielectric layers on patterned substrates. In embodiments, dielectric layers are deposited by flowing BIS(DIETHYLAMINO)SILANE (BDEAS), ozone and molecular oxygen into a processing chamber such that a relatively uniform dielectric growth rate is achieved across the patterned substrate surface. The deposition of dielectric layers grown according to embodiments may have a reduced dependence on pattern density while still being suitable for non-sacrificial applications.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: August 7, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Sasha Kweskin, Paul Edward Gee, Shankar Venkataraman, Kedar Sapre
  • Patent number: 8227032
    Abstract: A method of forming a silicon oxide film, comprising the steps of: —providing a treatment substrate within a reaction chamber; —purging the gas within the reaction chamber by feeding an inert gas into the chamber under reduced pressure at a substrate temperature of 50 to 400 C, —adsorbing, at the same temperatures and under reduced pressure, a silicon compound on the treatment substrate by pulsewise introduction of a gaseous silicon compound into the reaction chamber, —purging, at the same temperatures and under reduced pressure, the unadsorbed silicon compound in the reaction chamber with an inert gas, —at the same temperatures and under reduced pressure, introducing a pulse of ozone-containing mixed gas into the reaction chamber and producing silicon oxide by an oxidation reaction with the silicon compound adsorbed on the treatment substrate; and—repeating steps 1) to 4) if necessary to obtain the desired thickness on the substrate.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: July 24, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Christian Dussarrat, Julien Gatineau, Kazutaka Yanagita, Eri Tsukada, Ikuo Suzuki
  • Patent number: 8227358
    Abstract: Novel silicon precursors for low temperature deposition of silicon films are described herein. The disclosed precursors possess low vaporization temperatures, preferably less than about 500° C. In addition, embodiments of the silicon precursors incorporate a —Si—Y—Si— bond, where Y may comprise an amino group, a substituted or unsubstituted hydrocarbyl group, or oxygen. In an embodiment a silicon precursor has the formula: where Y is a hydrocarbyl group, a substituted hydrocarbyl group, oxygen, or an amino group; R1, R2, R3, and R4 are each independently a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, a heterohydrocarbyl group, wherein R1, R2, R3, and R4 may be the same or different from one another; X1, X2, X3, and X4 are each independently, a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, or a hydrazine group, wherein X1, X2, X3, and X4 may be the same or different from one another.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: July 24, 2012
    Assignee: Air Liquide Electronics U.S. LP
    Inventors: Ziyun Wang, Ashutosh Misra, Ravi Laxman
  • Patent number: 8221828
    Abstract: A method of forming a film is provided. Nanoparticles are deposited on a surface of a substrate using a liquid deposition process. The nanoparticles are linked to each other and to the surface using linker molecules. A coating having a surface energy of less than 70 dyne/cm is deposited over the film to form a coated film. The coated film has an RMS surface roughness of 25 nm to 500 nm, a film coverage of 25% to 60%, a surface energy of less than 70 dyne/cm; and a durability of 10 to 5000 microNewtons. Depending on the particular environment in which the film is to be used, a durability of 10 to 500 microNewtons may be preferred. A film thickness 3 to 100 times the RMS surface roughness of the film is preferred.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: July 17, 2012
    Inventors: Jeff Chinn, W. Robert Ashurst, Adam Anderson
  • Patent number: 8173213
    Abstract: A stabilized cyclic alkene composition comprising one or more cyclic alkenes, and at least one stabilizer compound having the Formula (I), R1,R2,R3,R4,R5(C6)OH??Formula (I) wherein R? through R5 can each independently be H, OH, C1-C8 linear, branched, or cyclic alkyl, C1-C8 linear, branched, or cyclic alkoxy or substituted or unsubstituted aryl, and wherein the stabilizer compound is present in an amount greater than 200 ppm up to 20,000 ppm and has a boiling point lower than 265° C. A method for forming a layer of carbon-doped silicon oxide on a substrate, which uses the stabilized alkene composition and a silicon containing compound.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: May 8, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Steven Gerard Mayorga, Mary Kathryn Haas, Mark Leonard O'Neill, Dino Sinatore
  • Patent number: 8148012
    Abstract: In a method for manufacturing a negative electrode for a battery, an active material layer including a metallic element M and an element A that is at least any one of oxygen, nitrogen, and carbon is formed on a current collector. This active material layer is irradiated with an X-ray and at least one of intensity of a K? ray of the element A and intensity of a K? ray of the metallic element M in fluorescent X-rays generated from the active material layer is measured.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: April 3, 2012
    Assignee: Panasonic Corporation
    Inventors: Hideharu Takezawa, Takayuki Shirane, Shinya Fujimura, Sadayuki Okazaki, Kazuyoshi Honda
  • Patent number: 8133548
    Abstract: Provided a method for producing an oriented-porosity dielectric material on a substrate. The method includes depositing a vapor phase on a substrate of a composite layer comprising a material forming a matrix and a compound comprising chemical groups capable of being oriented under the effect of an electromagnetic field and/or photonic radiation; treating the composite layer to obtain the cross-linking of the material forming a matrix; and subjecting the substrate coated with the composite layer to an electromagnetic field and/or a photonic radiation.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: March 13, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Aziz Zenasni
  • Patent number: 8105661
    Abstract: A method of forming a porous film on a processing target includes: forming fine organic particles by polymerizing an organic compound in a gaseous phase; mixing the fine organic particles with a silicon compound containing a Si—O bond in a gaseous phase, thereby depositing a film containing the fine particles on the processing target; and removing the fine organic particles from the film.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: January 31, 2012
    Assignees: ASM Japan K.K., Ulvac, Inc., NEC Corporation
    Inventors: Yasuyoshi Hyodo, Kazuo Kohmura, Nobutoshi Fujii, Nobutaka Kunimi, Keizo Kinoshita
  • Patent number: 8101236
    Abstract: A method of fabricating a low-k dielectric material with increased cohesive strength for use in electronic structures including interconnect and sensing structures is provided. The method includes the deposition of the dielectric material from a first precursor which is an carbosilane or an alkoxycarbosilane molecule.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: January 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Stephen M. Gates, Alfred Grill, Michael Lane, Qinghuang Lin, Robert D. Miller, Deborah A. Neumayer, Son Van Nguyen
  • Patent number: 8097303
    Abstract: Methods for producing multilayered, oxidation-resistant structures on substrates are provided. The methods comprise depositing silicon dioxide on a substrate comprising molybdenum and boron and annealing the silicon dioxide at a temperature and for a time sufficient to form a coating comprising a borosilicate scale on the substrate.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: January 17, 2012
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: John H. Perepezko, Joon S. Park, Ridwan Sakidja
  • Patent number: 8071160
    Abstract: A method of forming a film is provided. Nanoparticles are deposited on a surface of a substrate using a liquid deposition process. The nanoparticles are linked to each other and to the surface using linker molecules. A coating having a surface energy of less than 70 dyne/cm is deposited over the film to form a coated film. The coated film has an RMS surface roughness of 25 nm to 500 nm, a film coverage of 25% to 60%, a surface energy of less than 70 dyne/cm; and a durability of 10 to 5000 microNewtons. Depending on the particular environment in which the film is to be used, a durability of 10 to 500 microNewtons may be preferred. A film thickness 3 to 100 times the RMS surface roughness of the film is preferred.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: December 6, 2011
    Assignee: Integrated Surface Technologies
    Inventors: Jeffrey D. Chinn, Robert W. Ashurst, Adam N. Anderson
  • Patent number: 8043668
    Abstract: Provided is a method for depositing a fluorine-doped silicon oxide film on the surface of a substrate made of a material comprising at least 50 mass % of an ethylene/tetrafluoroethylene copolymer. This method comprises flowing a mixed gas into between electrodes, exposing the mixed gas to electric power applied between the electrodes so that the electrical power density between the electrodes becomes from 0.5 to 1.1 W/cm3 to cause discharge, and forming plasma of the mixed gas and depositing the fluorine-doped silicon oxide film on the surface of the substrate. In this method, the mixed gas for forming the fluorine-doped silicon oxide film comprises silicon tetrafluoride, oxygen and a hydrocarbon, the atomic ratio of oxygen atoms to carbon atoms (O/C) is from 1 to 10, and the atomic ratio of oxygen atoms to silicon atoms (O/Si) is from 1.7 to 25 in the mixed gas.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: October 25, 2011
    Assignee: Asahi Glass Company, Limited
    Inventors: Seiji Higashi, Chikaya Tamitsuji
  • Patent number: 8012887
    Abstract: Methods of depositing silicon oxide layers on substrates involve flowing a silicon-containing precursor, an oxidizing gas, water and an additive precursor into a processing chamber such that a uniform silicon oxide growth rate is achieved across the substrate surface. The surface of silicon oxide layers grown according to embodiments may have a reduced roughness when grown with the additive precursor. In other aspects of the disclosure, silicon oxide layers are deposited on a patterned substrate with trenches on the surface by flowing a silicon-containing precursor, an oxidizing gas, water and an additive precursor into a processing chamber such that the trenches are filled with a reduced quantity and/or size of voids within the silicon oxide filler material.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: September 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shankar Venkataraman, Hiroshi Hamana, Manuel A. Hernandez, Nitin K. Ingle, Paul Edward Gee
  • Publication number: 20110207283
    Abstract: Methods are provided herein for forming metal oxide thin films by atomic layer deposition. The metal oxide thin films can be deposited at high temperatures such that the thin film is crystalline as deposited. The metal oxide thin films can be used, for example, as dielectric oxides in transistors, flash devices, capacitors, integrated circuits, and other semiconductor applications.
    Type: Application
    Filed: February 22, 2010
    Publication date: August 25, 2011
    Inventors: Suvi Haukka, Hannu Huotari, Marko Tuominen
  • Publication number: 20110186464
    Abstract: A process for manufacturing glass containers completely or partly treated with the chemical vapor deposition (CVD) technique, by which a layer of oxides of Si and/or B and/or Ti and/or Zr and/or Ta and/or Al and/or mixtures of one or more of said elements is deposited with HTAP-MOCVD technique, includes the step of carrying our the deposition during the annealing of the container, by supplying into the annealing furnace a suitable gas mixture of precursor, reactant and transport gas.
    Type: Application
    Filed: October 11, 2007
    Publication date: August 4, 2011
    Applicant: NUOVA OMPI S.R.L.
    Inventors: Giovanni Carta, Fabiano Nicoletti, Gilberto Rossetto, Pierino Zanella
  • Patent number: 7947338
    Abstract: In a method of forming an interlayer insulating film by plasma CVD, an organic siloxane compound including one or more silicon atoms each having at least three or more units each represented by a general formula, —O—Si(R1R2)—OR3 (wherein R1 and R2 are the same as or different from each other and are a methyl group, an ethyl group or a propyl group, and R3 is the same as or different from R1 and R2 and is a methyl group, an ethyl group, a propyl group or a phenyl group) is used as a raw material.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: May 24, 2011
    Assignee: Panasonic Corporation
    Inventor: Nobuo Aoi
  • Patent number: 7943195
    Abstract: A porous organosilica glass (OSG) film consists of a single phase of a material represented by the formula SivOwCxHyFz, where v+w+x+y+z=100%, v is from 10 to 35 atomic %, w is from 10 to 65 atomic %, x is from 5 to 30 atomic %, y is from 10 to 50 atomic % and z is from 0 to 15 atomic %, wherein the film has pores and a dielectric constant less than 2.6. The film is provided by a chemical vapor deposition method in which a preliminary film is deposited from organosilane and/or organosiloxane precursors and pore-forming agents (porogens), which can be independent of, or bonded to, the precursors. The porogens are subsequently removed to provide the porous film. Compositions, such as kits, for forming the films include porogens and precursors. Porogenated precursors are also useful for providing the film.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: May 17, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Raymond Nicholas Vrtis, Mark Leonard O'Neill, Jean Louise Vincent, Aaron Scott Lukas, Manchao Xiao, John Anthony Thomas Norman
  • Patent number: 7923385
    Abstract: Methods of preparing a carbon doped oxide (CDO) layers having a low dielectric constant are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to one or multiple carbon-doped oxide precursors having molecules with at least one carbon-carbon triple bond, or carbon-carbon double bond, or a combination of these groups and depositing the carbon doped oxide dielectric layer under conditions in which the resulting dielectric layer has a dielectric constant of not greater than about 2.7. Methods of preparing a low stress porous low-k dielectric material on a substrate are provided. The methods involve the use of a structure former precursor and/or porogen precursor with one or more organic functional groups. In some cases, the structure former precursor has carbon-carbon double or triple bonds. In other cases, one or both of the structure former precursor and porogen precursor has one or more bulky organic groups.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: April 12, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Haiying Fu
  • Patent number: 7906168
    Abstract: An oxide film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas including a silicon source gas and a second process gas including an oxidizing gas. The oxide film is formed by performing cycles each alternately including first and second steps. The first step performs supply of the first process gas, thereby forming an adsorption layer containing silicon on a surface of the target substrate. The second performs supply of the second process gas, thereby oxidizing the adsorption layer on the surface of the target substrate. The silicon source gas is a univalent or bivalent aminosilane gas, and each of the cycles is arranged to use a process temperature lower than that used for a trivalent aminosilane gas.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: March 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Yoshihiro Ishida, Takehiko Fujita, Jun Ogawa, Shigeru Nakajima
  • Patent number: 7879400
    Abstract: There is provided a substrate processing apparatus equipped with a metallic component, with at least a part of its metallic surface exposed to an inside of a processing chamber and subjected to baking treatment at a pressure less than atmospheric pressure. As a result of this baking treatment, a film which does not react with various types of reactive gases, and which can block the out diffusion of metals, is formed on the surface of the above-mentioned metallic component.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: February 1, 2011
    Assignee: Hitachi Kokusal Electric Inc.
    Inventors: Takahiro Maeda, Kiyohiko Maeda, Takashi Ozaki
  • Patent number: 7879409
    Abstract: We have a method of improving the deposition rate uniformity of the chemical vapor deposition (CVD) of films when a number of substrates are processed in series, sequentially in a deposition chamber. The method includes the plasma pre-heating of at least one processing volume structure within the processing volume which surrounds the substrate when the substrate is present in the deposition chamber. We also have a device-controlled method which adjusts the deposition time for a few substrates at the beginning of the processing of a number of substrates in series, sequentially in a deposition chamber, so that the deposited film thickness remains essentially constant during processing of the series of substrates. A combination of these methods into a single method provides the best overall results in terms of controlling average film thickness from substrate to substrate.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: February 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Gaku Furuta, Tae Kyung Won, John M. White
  • Patent number: 7858536
    Abstract: A semiconductor device comprising a semiconductor substrate, a gate dielectrics formed on the semiconductor substrate and including a silicon oxide film containing a metallic element, the silicon oxide film containing the metallic element including a first region near a lower surface thereof, a second region near an upper surface thereof, and a third region between the first and second regions, the metallic element contained in the silicon oxide film having a density distribution in a thickness direction of the silicon oxide film, a peak of the density distribution existing in the third region, and an electrode formed on the gate dielectrics.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: December 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Eguchi, Seiji Inumiya, Yoshitaka Tsunashima
  • Patent number: 7858535
    Abstract: Methods for reducing and inhibiting defect formation on silicon dioxide formed by atomic layer deposition (ALD) are disclosed. Defect reduction is accomplished by performing processing on the silicon dioxide subsequent to deposition by ALD. The post-deposition processing may include at least one of a pump/purge cycle and a water exposure cycle performed after formation of the silicon dioxide on a substrate.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Shyam Surthi
  • Patent number: 7851385
    Abstract: The present invention generally provides apparatus and method for processing a semiconductor substrate. Particularly, embodiments of the present invention relate to a method and apparatus for forming semiconductor devices having a conformal silicon oxide layer formed at low temperature. One embodiment of the present invention provides a method for forming a semiconductor gate structure. The method comprises forming a gate stack on a semiconductor substrate, forming a conformal silicon oxide layer on the semiconductor substrate using a low temperature cyclic method, and forming a spacer layer on the conformal silicon oxide layer.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: December 14, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Spuller, Melody Agustin, Meiyee (Maggie Le) Shek, Li-Qun Xia, Reza Arghavani
  • Patent number: 7838084
    Abstract: The invention includes atomic layer deposition methods of depositing an oxide on a substrate. In one implementation, a substrate is positioned within a deposition chamber. A first species is chemisorbed onto the substrate to form a first species monolayer within the deposition chamber from a gaseous precursor. The chemisorbed first species is contacted with remote plasma oxygen derived at least in part from at least one of O2 and O3 and with remote plasma nitrogen effective to react with the first species to form a monolayer comprising an oxide of a component of the first species monolayer. The chemisorbing and the contacting with remote plasma oxygen and with remote plasma nitrogen are successively repeated effective to form porous oxide on the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: November 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Shuang Meng, Danny Dynka