Mixture Of Vapors Or Gases (e.g., Deposition Gas And Inert Gas, Inert Gas And Reactive Gas, Two Or More Reactive Gases, Etc.) Utilized Patents (Class 427/255.23)
  • Patent number: 11876213
    Abstract: A method of making an electrode material for an electrode in an electrochemical cell that cycles lithium ions is provided, where a protective coating is applied to an electrode precursor material. The electrode precursor may be a silicon-containing composition. The protective coating is selected from the group consisting of: an oxide-based coating, a fluoride-based coating, and a nitride-based coating. The method also includes lithiating the electrode precursor material in a continuous process. The continuous process is conducted in a reactor having a first reaction chamber and a second reaction chamber to form a lithiated electrode material comprising the protective coating.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: January 16, 2024
    Assignee: GM GLOBAL TECHNOLOGY OPERATIONS LLC
    Inventors: Xingcheng Xiao, Xingyi Yang, Raghunathan K, Mark W. Verbrugge
  • Patent number: 11201288
    Abstract: OVJP depositors and techniques for using the same are provided, in which the in-substrate plane velocity of the delivery and confinement flows are both nonzero and parallel to each other across the boundary between the two. These configurations provide improved material utilization efficiency and relaxed fly height tolerances, while achieving acceptable printing resolution and feature uniformity.
    Type: Grant
    Filed: May 16, 2018
    Date of Patent: December 14, 2021
    Assignee: Universal Display Corporation
    Inventors: Xin Xu, Gregory McGraw, William E. Quinn
  • Patent number: 11166441
    Abstract: A chemical precursor container is disclosed. The container includes a vessel and a lid that define an interior volume, an inlet conduit, an outlet conduit, and a flow distributor positioned inside the vessel and in fluid flow communication with the inlet conduit. The flow distributor has an annular shape and includes a distributor floor having a plurality of apertures formed therein for expelling carrier gas therethrough. The flow distributor includes an inner annular wall that defines a porthole in the flow distributor that allows fluid to pass through the flow distributor from the interior volume of the vessel to the outlet conduit.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: November 9, 2021
    Assignee: Versum Materials US, LLC
    Inventors: Charles Michael Birtcher, Robert Eschbach, William Jon Sheehy, James Patrick Nehlsen
  • Patent number: 11111600
    Abstract: A process chamber which may be operated as follows: mounting substrates in a substrate carrier; loading the substrate carrier into a vacuum chamber and mating the substrate carrier with an upper gas manifold and a lower gas manifold; providing and maintaining a vacuum environment within the vacuum chamber; making electrical contact to an at least one electrically-resistive heater; heating the substrates to a process temperature by flowing current through the at least one electrically-resistive heater; and while heating the substrates, flowing process gas through odd numbered channels from the upper gas manifold to the lower gas manifold, and simultaneously flowing process gas through even numbered channels from the lower gas manifold to the upper gas manifold; wherein the process gas comprises an inert gas and the substrates are being thermally annealed, or wherein the process gas is a dopant gas and the substrates are being doped.
    Type: Grant
    Filed: December 4, 2017
    Date of Patent: September 7, 2021
    Assignee: Svagos Technik, Inc.
    Inventors: Tirunelveli S. Ravi, Visweswaren Sivaramakrishnan
  • Patent number: 10686450
    Abstract: Different delay counts are supplied to a counter to perform multiple frequency captures on the output of a phase-locked loop (PLL) device. A PLL frequency set signal is supplied to the counter for each of the multiple captures performed on the PLL device. The set signal causes the PLL device to transition from a relatively lower frequency state to a relatively higher target (lock) frequency state. A different time delay count is begun each time the set signal is detected at an input of the counter, and a trigger signal is output from the counter each time each of the different delay counts is complete. A frequency detector captures the frequency being output by the PLL device each time the trigger signal is received. Such forms a record of the frequency being output by the PLL device for each different time delay count.
    Type: Grant
    Filed: May 23, 2018
    Date of Patent: June 16, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Anthony E. Grass
  • Patent number: 10669516
    Abstract: A bioreactor system for conditioning of pluripotent cells or cell media is provided. In further aspects, conditioned pluripotent cells and methods for making such cells are provided.
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: June 2, 2020
    Assignee: THE BOARD OF REGENTS OF THE UNIVERSITY OF TEXAS SYSTEM
    Inventors: Charles S. Cox, Jr., Brijesh S. Gill, Kevin Aroom, Pamela Wenzel
  • Patent number: 10580585
    Abstract: The present invention provides a process for producing a layer of a crystalline material comprising a perovskite or a hexahalometallate, which process comprises: (i) exposing a substrate to a vapour comprising a first precursor compound in a first chamber to produce a layer of the first precursor compound on the substrate; and (ii) exposing the layer of the first precursor compound to a vapour comprising a second precursor compound in a second chamber to produce the layer of a crystalline material, wherein the pressure in the second chamber is above high vacuum. The invention also provides a process for producing a layer of a crystalline material comprising a perovskite or a hexahalometallate, which process comprises exposing a layer of a first precursor compound on a substrate to a vapour comprising a second precursor compound in a chamber to produce the layer of a crystalline material, wherein the pressure in the chamber is greater than high vacuum and less than atmospheric pressure.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: March 3, 2020
    Assignee: OXFORD UNIVERSITY INNOVATION LIMITED
    Inventors: Henry J. Snaith, Giles E. Eperon, James M. Ball
  • Patent number: 10513772
    Abstract: Methods are disclosed herein for depositing a passivation layer comprising fluorine over a dielectric material that is sensitive to chlorine, bromine, and iodine. The passivation layer can protect the sensitive dielectric layer thereby enabling deposition using precursors comprising chlorine, bromine, and iodine over the passivation layer.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: December 24, 2019
    Assignee: ASM International N.V.
    Inventors: Tom E. Blomberg, Eva E. Tois, Robert Huggare, Jan Willem Maes, Vladimir Machkaoutsan, Dieter Pierreux
  • Patent number: 10510995
    Abstract: A film formation method of introducing, into a film formation chamber, a vaporized material obtained by vaporizing a liquid-form organic material in a vaporizing chamber and forming a vapor deposition film composed of the vaporized material on a surface of a film formed substrate placed within the film formation chamber. The method includes: holding an internal temperature of the vaporizing chamber at a lower temperature than a reaction temperature at which the organic material polymerizes; holding an internal pressure of the vaporizing chamber at a saturated vapor pressure of the organic material; setting an internal temperature of the film formation chamber to the same temperature as the internal temperature of the vaporizing chamber; and forming the film in a state where the film formed substrate is held at a temperature lower than the internal temperature of the film formation chamber.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: December 17, 2019
    Assignee: SHARP KABUSHIKI KAISHA
    Inventor: Takeshi Hirase
  • Patent number: 10487399
    Abstract: A chamber lid assembly includes: a central channel having an upper portion and a lower portion and extending along a central axis; a housing at least partially defining a first and a second annular channel, each fluidly coupled to the central channel; a first plurality of apertures disposed along a horizontal plane through the housing to provide a multi-aperture inlet between the first annular channel and the central channel; a second plurality of apertures disposed along a horizontal plane through the housing to provide a multi-aperture inlet between the second annular channel and the central channel, wherein the first and the second plurality of apertures are angled differently with respect to the central axis so as to induce opposing rotational flow of gases about the central axis; and a tapered bottom surface extending from the lower portion of the central channel to a peripheral portion of the chamber lid assembly.
    Type: Grant
    Filed: June 26, 2015
    Date of Patent: November 26, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dien-Yeh Wu, Paul Ma, Guodan Wei, Chun-Teh Kao
  • Patent number: 9991097
    Abstract: A plasma processing apparatus includes a chamber; a mounting table configured to mount thereon a target object in the chamber; a plasma source configured to introduce microwaves into the chamber through a ceiling wall of the chamber and generate a surface wave plasma in the chamber; a first gas introduction unit configured to introduce a first gas into the chamber from the ceiling wall; and a second gas introduction unit configured to introduce a second gas into the chamber from a predetermined height position between the ceiling wall and the mounting table. The second gas introduction unit has a plurality of nozzles extending from the ceiling wall toward the mounting table and arranged on a same circumference at a regular interval. Each of the nozzles discharges the second gas toward a nozzle adjacent thereto.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: June 5, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tomohito Komatsu, Shigenori Ozaki, Yutaka Fujino, Jun Nakagomi
  • Patent number: 9714462
    Abstract: A processing apparatus may include a down-facing substrate processing chamber fixed at acute angle to horizontal. A chuck plate on a platform may pivot from an open position wherein the platform is at an acute angle to the processing chamber, to a parallel position wherein the platform is parallel to the processing chamber. The chuck plate may then be moved linearly into sealing engagement with the processing chamber. A chuck holder may be provided on the platform to hold the chuck in place.
    Type: Grant
    Filed: October 8, 2014
    Date of Patent: July 25, 2017
    Assignee: APPLIED Materials, Inc.
    Inventors: Robert B. Moore, Vincent Steffan Francischetti
  • Patent number: 9364581
    Abstract: A medical implant comprises a biodegradable magnesium-based alloy of which at least a part of its surface layer comprises a magnesium carbonate. A method for the manufacture of a biocompatible, corrosion-inhibiting protective surface layer on a medical implant comprising a magnesium-based alloy, comprises: providing an implant comprising a magnesium-based alloy to be coated; placing the implant into a reactor chamber; exposing at least part of the surface of said implant to an atmosphere comprising humid carbon dioxide to produce a coating on the surface of the implant comprising a magnesium carbonate of the formula x MgCO3.y Mg(OH)2, whereby x+y=1; removing the implant from the reactor chamber; and drying the surface of the implant.
    Type: Grant
    Filed: May 11, 2015
    Date of Patent: June 14, 2016
    Assignee: DePuy Synthes Products, Inc.
    Inventors: Peter Kurze, Thomas Imwinkelried, Stefan Beck, Dora Banerjee, Tamara Schwarz
  • Patent number: 9362385
    Abstract: A method for manufacturing a metal gate structure includes forming a high-k dielectric layer in a gate trench; forming an etch stop over the high-k dielectric layer; forming a work function adjusting layer over the etch stop by forming a tri-layer by an atomic layer deposition (ALD) operation with a sequence of a grain boundary engineering layer configured to allow a dopant atom to penetrate there through, a doping layer configured to provide the dopant atom to the grain boundary engineering layer, and a capping layer configured to prevent the doping layer from oxidation; and filling metal to level up the gate trench. The grain boundary engineering layer is prepared by the ALD operation under various temperatures such as from about 200 to about 350 degrees Celsius.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: June 7, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chung-Liang Cheng, Yen-Yu Chen, Wei-Jen Chen, Chang-Sheng Lee, Wei Zhang
  • Patent number: 9261334
    Abstract: A low-weight rupture/ballistics resistant wall structure is provided. Such a structure includes a multi-layer composite of at least two triaxial quasi three-dimensional woven fabrics, each coated with a specific depth of a polyurea material. Additionally, each fabric layer may be impregnated with an epoxy material to retain the triaxial configuration during utilization. Such a composite is adhered to a steel or other type of metallic base to provide improved strength to the base structure without significantly increasing the overall weight thereof. In such a manner, the inventive composite mitigates against undesirable rupture or other compromise of the overall metal structure during a destructive event and even permits reliable resealing of any created opening therein as a result of such an occurrence. The process of manufacturing the composite as well as the method of providing increased ballistic protection are also encompassed within this invention.
    Type: Grant
    Filed: March 4, 2014
    Date of Patent: February 16, 2016
    Inventor: Brandon Saint
  • Patent number: 9149871
    Abstract: A cutting tool insert for machining by chip removal includes a body of a hard alloy of cemented carbide, cermet, ceramics or cubic boron nitride based material onto which a hard and wear resistant coating is deposited by CVD. The coating includes at least one multitextured ?-Al2O3 layer with a thickness between 0.5 ?m and 30 ?m characterized with an ODF texture index>1 and at least two dominant texture components with 2<ODF density<100 coexisting within the layer. A method of making and using the cutting tool insert are also described.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: October 6, 2015
    Assignee: SECO TOOLS AB
    Inventors: Mats Johansson, Tommy Larsson, Oscar Alm, Jon Andersson
  • Patent number: 9127364
    Abstract: A method and apparatus for performing chemical vapor deposition (CVD) processes is provided. In one embodiment, the apparatus comprises a reactor body having a processing region, comprising a wafer carrier track having a wafer carrier disposed thereon, at least one sidewall having an exhaust assembly for exhausting gases from the processing region, a lid assembly disposed on the reactor body, comprising a lid support comprising a first showerhead assembly for supplying reactant gases to the processing region, a first isolator assembly for supplying isolation gases to the processing region, a second showerhead assembly for supplying reactant gases to the processing region, and a second isolator assembly for supplying isolation gases to the processing region, wherein the first showerhead assembly, the first isolator assembly, the second showerhead assembly, and the second isolator assembly are consecutively and linearly disposed next to each other.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: September 8, 2015
    Assignee: Alta Devices, Inc.
    Inventor: David P. Bour
  • Publication number: 20150125279
    Abstract: A submersible pump component is provided. The component includes a substrate including an outer surface in a plurality of orientations, wherein a first portion of the outer surface is configured to be worn by a first wear mechanism, and a second portion of said outer surface is configured to be worn by a second wear mechanism. The component also includes at least one layer of a first coating applied to the outer surface, and at least one layer of a second coating applied over said first coating at said second portion of said outer surface. The first coating is configured to inhibit the first wear mechanism at the first portion of the outer surface, and the second coating is configured to inhibit the second wear mechanism at the second portion of the outer surface.
    Type: Application
    Filed: November 4, 2013
    Publication date: May 7, 2015
    Applicant: General Electric Company
    Inventors: Patrick James McCluskey, Dennis Michael Gray, Scott Andrew Weaver, Bala Srinivasan Parthasarathy, Richard Arthur Nardi, JR., Charles Joseph Underwood
  • Patent number: 9023466
    Abstract: A cutting tool insert for machining by chip removal comprising a body of a hard alloy of cemented carbide, cermet, ceramics or cubic boron nitride based material onto which a hard and wear resistant coating is deposited by CVD, and the methods of making and using the same. The coating includes at least one ?-Al2O3 layer with a thickness between 0.5 ?m and 40 ?m having a {01-15} and/or {10-15} texture exhibiting excellent wear and metal cutting performance.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: May 5, 2015
    Assignee: Seco Tools AB
    Inventors: Tommy Larsson, Mats Johansson
  • Publication number: 20150104587
    Abstract: A method for making multiple single molecule receptors in a nanopore structure includes depositing a first material and a second material by a physical vapor deposition (PVD) technique onto different selected interior surfaces of a nanochannel and functionalizing a surface of the first material, the second material, or both the first and second materials with a chemical compound having at least two functional groups. The first and second materials can be the same or different and form patches having diameters of about 1 to about 100 nanometers (nm). Also disclosed are embodiments of a nanopore structure including multiple single molecule receptors.
    Type: Application
    Filed: November 4, 2013
    Publication date: April 16, 2015
    Applicant: International Business Machines Corporation
    Inventors: Julia Baldauf, Stefan Harrer, Christine Schieber
  • Patent number: 8992684
    Abstract: The geometry of transition from cylindrical to rectangular shape through the conical part in hydride vapor phase epitaxial (HVPE) systems for deposition of III-nitride films is disclosed. It is used to ensure the laminar gas flow inside the growth zone of the system. For the velocity of flow within the atmospheric pressure reactor to be sufficient, the precursors are injected through the narrow diameter tubing injectors. The quartz reactor geometry is introduced to control the transition from jet to laminar flow.
    Type: Grant
    Filed: June 14, 2013
    Date of Patent: March 31, 2015
    Assignee: Ostendo Technologies, Inc.
    Inventors: Oleg Kovalenkov, Vitali Soukhoveev, Alexander Syrkin, Vladimir Sizov
  • Patent number: 8986784
    Abstract: A method for forming a droplet aerosol for vaporization and subsequent thin film deposition on a substrate. The method includes drawing a gas from a compressed gas source and drawing a liquid from a liquid source. The liquid and gas are conjoined in either a coaxial flow relationship or a radial flow relationship or an angular relationship between radial and coaxial flow wherein the gas engages the liquid to form droplets suitable for vaporization and subsequent thin film deposition on a substrate.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: March 24, 2015
    Assignee: MSP Corporation
    Inventors: Benjamin Y. H. Liu, Thuc M. Dinh, Yamin Ma
  • Publication number: 20150079283
    Abstract: A deposition apparatus comprising a vaporizer chamber configured to hold a solid precursor of a dopant element therein. Gas input and output lines are connected to the vaporizer chamber and flow rate controllers are coupled to each of the gas input and output lines. The flow rate controllers are configured to adjust a rate of carrier gas flow into and out of the vaporizer chamber through the gas input and output lines. The vaporizer chamber has a temperature controller and pressure controller to produce vapors of the solid precursor in the vaporizer chamber that can be carried with the carrier gas flow through the output line.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 19, 2015
    Applicant: LGS Innovations LLC
    Inventors: Brijesh Vyas, Mike Santo, Hugo Safar
  • Publication number: 20150068316
    Abstract: A sensor array comprises a carrier substrate and a ferro electric layer disposed on the carrier substrate, wherein the sensor array comprises means for reading the permittivity of the ferro electric layer. The sensor array is such that the ferro electric layer is disposed in a crystalline manner on the carrier substrate. A method for producing the sensor array and to use of the same is also disclosed.
    Type: Application
    Filed: March 9, 2013
    Publication date: March 12, 2015
    Applicant: FORSCHUNGSZENTRM JUELICH GMBH
    Inventor: Roger Woerdenweber
  • Publication number: 20150072075
    Abstract: A film-forming apparatus includes an aerosol generation device which generates an aerosol including a solution of a film-forming material dispersed in a carrier gas, a chamber which vaporizes the aerosol such that fine particles of the film-forming material are generated from the aerosol that is generated by the aerosol generation device, a nozzle which discharges the fine particles generated by the chamber toward a substrate, and a moving mechanism which executes relative movement of the nozzle and the substrate along a surface of the substrate. The nozzle has a discharge port which discharges the fine particles to a slit-shaped region extending in a direction orthogonal to a moving direction of the relative movement between the nozzle and the substrate executed by the moving mechanism.
    Type: Application
    Filed: November 14, 2014
    Publication date: March 12, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Norio WADA
  • Patent number: 8969234
    Abstract: A method of preparing a fuel cell electrode catalyst by preparing a platinum-carbon core-shell composite, which has a platinum nanoparticle core and a graphene carbon shell, using a simultaneous evaporation process, a method for preparing a fuel cell electrode comprising the catalyst prepared thereby, and a fuel cell comprising the same. A fuel cell comprising an electrode catalyst consisting of the core-shell composite prepared by simultaneously evaporating the platinum precursor and the organic precursor can have high performance and high durability, because the platinum particles are not agglomerated or detached and corroded even under severe conditions, including high-temperature, long use term, acidic and alkaline conditions.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: March 3, 2015
    Assignee: Korea Institute of Energy Research
    Inventors: Hee-Yeon Kim, Seok-yong Hong
  • Patent number: 8951444
    Abstract: In a method for functionalizing a carbon nanotube surface, the nanotube surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the nanotube surface, providing chemically functional groups at the nanotube surface, producing a functionalized nanotube surface. A functionalized nanotube surface can be exposed to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the nanotube surface while providing chemically functional groups at the nanotube surface, producing a stabilized nanotube surface. The stabilized nanotube surface can be exposed to at least one material layer precursor species that deposits a material layer on the stabilized nanotube surface.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: February 10, 2015
    Assignee: President and Fellows of Harvard College
    Inventors: Roy G. Gordon, Damon B. Farmer
  • Patent number: 8945675
    Abstract: The present disclosure relates to the deposition of conductive titanium oxide films by atomic layer deposition processes. Amorphous doped titanium oxide films are deposited by ALD processes comprising titanium oxide deposition cycles and dopant oxide deposition cycles and are subsequently annealed to produce a conductive crystalline anatase film. Doped titanium oxide films may also be deposited by first depositing a doped titanium nitride thin film by ALD processes comprising titanium nitride deposition cycles and dopant nitride deposition cycles and subsequently oxidizing the nitride film to form a doped titanium oxide film. The doped titanium oxide films may be used, for example, in capacitor structures.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: February 3, 2015
    Assignee: ASM International N.V.
    Inventors: Viljami Pore, Mikko Ritala, Markku Leskelä
  • Patent number: 8945691
    Abstract: A fluffy nano-material and method of manufacture are described. At 2000× magnification the fluffy nanomaterial has the appearance of raw, uncarded wool, with individual fiber lengths ranging from approximately four microns to twenty microns. Powder-based nanocatalysts are dispersed in the fluffy nanomaterial. The production of fluffy nanomaterial typically involves flowing about 125 cc/min of organic vapor at a pressure of about 400 torr over powder-based nano-catalysts for a period of time that may range from approximately thirty minutes to twenty-four hours.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 3, 2015
    Assignee: Consolidated Nuclear Security, LLC
    Inventors: Paul A. Menchhofer, Roland D. Seals, Jane Y. Howe, Wei Wang
  • Patent number: 8927066
    Abstract: Methods and apparatus for gas delivery are disclosed herein. In some embodiments, a gas delivery system includes an ampoule for storing a precursor in solid or liquid form, a first conduit coupled to the ampoule and having a first end coupled to a first gas source to draw a vapor of the precursor from the ampoule into the first conduit, a second conduit coupled to the first conduit at a first junction located downstream of the ampoule and having a first end coupled to a second gas source and a second end coupled to a process chamber, and a heat source configured to heat the ampoule and at least a first portion of the first conduit from the ampoule to the second conduit and to heat only a second portion of the second conduit, wherein the second portion of the second conduit includes the first junction.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: January 6, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Yihwan Kim
  • Patent number: 8927060
    Abstract: There is provided a method for forming a Ge—Sb—Te film having a composition of Ge2Sb2Te5 on a substrate by a CVD method using a gaseous Ge source material, a gaseous Sb source material and a gaseous Te source material. The method includes loading the substrate within a processing chamber (Process 1); performing a first stage film forming process on the substrate by supplying the gaseous Ge source material and the gaseous Sb source material (Process 2); and performing a second stage film forming process on a film obtained through the first stage film forming process by supplying the gaseous Sb source material and the gaseous Te source material (Process 3). The Ge—Sb—Te film is formed by the film obtained through Process 2 and by a film obtained through Process 3.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: January 6, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yumiko Kawano, Susumu Arima
  • Patent number: 8895457
    Abstract: To provide a method of manufacturing a semiconductor device, including: forming a thin film different from a silicon oxide film on a substrate by supplying a processing gas into a processing vessel in which the substrate is housed; removing a deposit including the thin film adhered to an inside of the processing vessel by supplying a fluorine-containing gas into the processing vessel after executing forming the thin film prescribed number of times; and forming a silicon oxide film having a prescribed film thickness on the inside of the processing vessel by alternately supplying a silicon-containing gas, and an oxygen-containing gas and a hydrogen-containing gas into the heated processing vessel in which a pressure is set to be less than an atmospheric pressure after removing the deposit.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: November 25, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naonori Akae, Kotaro Murakami, Yoshiro Hirose, Kenji Kameda
  • Publication number: 20140329025
    Abstract: Apparatus and method for volatilizing a source reagent susceptible to particle generation or presence of particles in the corresponding source reagent vapor, in which such particle generation or presence is suppressed by structural or processing features of the vapor generation system. Such apparatus and method are applicable to liquid and solid source reagents, particularly solid source reagents such as metal halides, e.g., hafnium chloride. The source reagent in one specific implementation is constituted by a porous monolithic bulk form of the source reagent material. The apparatus and method of the invention are usefully employed to provide source reagent vapor for applications such as atomic layer deposition (ALD) and ion implantation.
    Type: Application
    Filed: July 19, 2014
    Publication date: November 6, 2014
    Inventors: John M. Cleary, Jose I. Arno, Bryan C. Hendrix, Donn Naito, Scott Battle, John N. Gregg, Michael J. Wodjenski, Chongying Xu
  • Publication number: 20140322445
    Abstract: A closed chemical introduction system used to deliver active ingredients in liquid chemical to a chemical vapor deposition system includes a robust, moisture-free cartridge containing a defined dose of liquid chemical. The cartridge is placed on a mounting slot specially configured to receive the cartridge. Upon initiating the system, a first linear mechanical actuator securely holds the cartridge in the slot, while an extraction lance attached to a second linear mechanical actuator punctures the cartridge from the bottom, extracts the liquid chemical and delivers it to a vaporization chamber. The vaporization chamber evaporates the liquid chemical and delivers the vapors containing the active ingredients to the chemical vapor deposition system.
    Type: Application
    Filed: April 23, 2014
    Publication date: October 30, 2014
    Applicant: DIAMON FUSION INTERNATIONAL, INC.
    Inventors: Russell C. SLAYBAUGH, Michael Stephen METCALFE, Adam ZAX, Guillermo SETA
  • Patent number: 8871302
    Abstract: A method for synthesizing graphene films is disclosed. Monolayer or multilayer graphene can be directly grown on the dielectric materials. The method includes the following steps: disposing dielectric materials and metals in a reactor, introducing reaction gases into the reactor and decomposing the reaction gases by heating, thus directly depositing graphene films on the surfaces of the dielectrics. High crystalline quality and low-defect graphene films can be synthesized directly on dielectric materials, without the process of wet etching and transfer. The method opens up a more direct route to apply graphene on electronics, optoelectronics, and bio-medical devices.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: October 28, 2014
    Assignee: National Tsing Hua University
    Inventors: Po-Yuan Teng, Yung-Chang Lin, Po-Wen Chiu
  • Patent number: 8865259
    Abstract: Disclosed are an inline chemical vapor deposition method and system for fabricating a device. The method includes transporting a web or discrete substrate through a deposition chamber having a plurality of deposition modules. A buffer layer, a window layer and a transparent conductive layer are deposited onto the substrate during passage through a first deposition module, a second deposition module and a third deposition module, respectively. Advantageously, the steps for generating the buffer layer, window layer and transparent conductive layer are performed sequentially in a common vacuum environment of a single deposition chamber and the use of a conventional chemical bath deposition process to deposit the buffer layer is eliminated. The method is suitable for the manufacture of different types of devices including various types of solar cells such as copper indium gallium diselenide solar cells.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 21, 2014
    Assignee: Singulus MOCVD GmbH I.GR.
    Inventors: Piero Sferlazzo, Thomas Michael Lampros
  • Publication number: 20140308445
    Abstract: A deposition apparatus, and a canister for the deposition apparatus capable of maintaining a predetermined amount of source material contained in a reactive gas supplied to a deposition chamber when the source material is deposited on a substrate by atomic layer deposition includes a main body, a source storage configured to store a source material, a heater disposed outside the main body, and a first feed controller configured to control the source material supplied to the main body from the source storage.
    Type: Application
    Filed: June 27, 2014
    Publication date: October 16, 2014
    Inventors: Heung-Yeol Na, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Eu-Gene Kang, Seok-Rak Chang, Tae-Hoon Yang, Yun-Mo Chung, Byung-Soo So, Byoung-Keon Park, Ivan Maidanchuk, Dong-Hyun Lee, Kii-Won Lee, Won-Bong Baek, Jong-Ryuk Park, Bo-Kyung Choi, Jae-Wan Jung
  • Publication number: 20140301861
    Abstract: A compressor blade having an airfoil that comprises oppositely-disposed convex and concave surfaces, oppositely-disposed leading and trailing edges defining therebetween a chord length of the airfoil, a forward-most nose of the airfoil located at the leading edge and having a profile, a blade tip, and an erosion-resistant coating. The coating is present on the concave surface near the trailing edge, optionally present on the nose, optionally present on the convex surface, wherein the convex surface is free of the erosion resistant coating within at least 20% of the chord length from the nose. The thickness of the coating on the concave surface, the convex surface, and the nose is such that, if the gas turbine engine is exposed to an erosive environment, deterioration of the concave surface, the convex surface and the leading edge does not form a pronounced cusp at an intersection of the convex surface and leading edge.
    Type: Application
    Filed: February 4, 2014
    Publication date: October 9, 2014
    Applicant: General Electric Company
    Inventors: Robert William Bruce, Aaron Dennis Gastrich, John William Hanify, Roger Owen Barbe
  • Patent number: 8852696
    Abstract: Chemical vapor deposition (CVD) processes include, in one embodiment, a method for processing a wafer within a vapor deposition reactor comprising heating at least one wafer disposed on a wafer carrier by exposing a lower surface of the wafer carrier to radiation emitted from a lamp assembly and flowing a liquid through a passageway extending throughout the reactor to maintain the reactor lid assembly at a predetermined temperature, such as within a range from about 275° C. to about 325° C. The method further includes traversing the wafer carrier along a wafer carrier track through at least a chamber containing a showerhead assembly and an isolator assembly and another chamber containing a showerhead assembly and an exhaust assembly, and removing gases from the reactor through the exhaust assembly.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: October 7, 2014
    Assignee: Alta Devices, Inc.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus
  • Patent number: 8846140
    Abstract: The invention relates to a method for producing an optical article having antireflection or reflective properties and comprising a substrate having at least one main surface, comprising the step of depositing an sub-layer onto a substrate's main surface, the step of treating the sub-layer by ionic bombardment and the step of depositing onto said sub-layer a multilayered stack comprising at least one high refractive index layer and at least one low refractive index layer. According to a preferred embodiment, the deposition of the sub-layer is conducted in a vacuum chamber in which a gas is supplied during the deposition step.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: September 30, 2014
    Assignee: Essilor International (Compagnie Generale d'Optique)
    Inventors: Philippe Roisin, Michele Thomas
  • Publication number: 20140272138
    Abstract: The present invention provides a method of inhibiting corrosion of a metal surface with at least one alkyl sulfamic acid or salt thereof to the metal surface in an amount effective to inhibit corrosion of the metal surface. The alkyl sulfamic acid or salt thereof can be applied in any suitable manner to the metal surface, for example, flowing, coating, sponging, wiping, spraying, painting, showering, and/or misting.
    Type: Application
    Filed: March 13, 2014
    Publication date: September 18, 2014
    Applicant: BUCKMAN LABORATORIES INTERNATIONAL, INC.
    Inventors: Jesse Pokrzywinski, Marilyn Whittemore, Thomas E. McNeel
  • Patent number: 8834955
    Abstract: A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line.
    Type: Grant
    Filed: April 3, 2014
    Date of Patent: September 16, 2014
    Assignee: ASM America, Inc.
    Inventors: Matthias Bauer, Gregory M. Bartlett
  • Patent number: 8828491
    Abstract: An architectural construct is a synthetic material that includes a matrix characterization of different crystals engineered to exhibit certain properties. An architectural construct can be fabricated by a process involving layer deposition, formation, exfoliation and spacing. In one aspect, purified methane can be dehydrogenated onto a substrate by applying heat through the substrate. Deposited carbon can form a plurality of layers of a matrix characterization of crystallized carbon through self-organization. The layers can be exfoliated and spaced to configure parallel orientation at a desired spacing and thickness using selected precursors and applying heat, pressure, or both. The desired architectural construct can further be stabilized and doped to exhibit desired properties.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: September 9, 2014
    Assignee: McAlister Technologies, LLC
    Inventor: Roy Edward McAlister
  • Patent number: 8821987
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber, a remote plasma source, and a showerhead. Inert gas ports within the showerhead assembly can be used to alter the concentration and energy of reactive radical or reactive neutral species generated by the remote plasma source in different regions of the showerhead. This allows the showerhead to be used to apply a surface treatment to different regions of the surface of a substrate. Varying parameters such as the remote plasma parameters, the inert gas flows, pressure, and the like allow different regions of the substrate to be treated in a combinatorial manner.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: September 2, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang, Sandip Niyogi
  • Patent number: 8821977
    Abstract: A transport device and method of transporting a substrate using a rotatable shaft and baffles may be used to extend cleaning intervals for the transport device and reduce deposition of a vapor deposition material upon the transport device.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: September 2, 2014
    Assignee: First Solar, Inc.
    Inventors: Hubertus von der Waydbrink, Georg Laimer, Siegfried Scheibe, Ricky C. Powell, James Ernest Hinkle, James B. Foote
  • Patent number: 8801817
    Abstract: A surface-coated cutting tool according to the present invention includes a base material and a coating film formed on the base material. The coating film includes at least one TiCN layer. The TiCN layer has a columnar crystal region. The columnar crystal region is characterized by having a composition of TiCxNy (in which 0.65?x/(x+y)?0.90) and having a (422) plane having a plane spacing of 0.8765 ? to 0.8790 ?.
    Type: Grant
    Filed: July 4, 2011
    Date of Patent: August 12, 2014
    Assignee: Sumitomo Electric Hardmetal Corp.
    Inventors: Anongsack Paseuth, Yoshio Okada, Hideaki Kanaoka, Chikako Kojima, Erika Iwai
  • Publication number: 20140199499
    Abstract: A method for depositing a particle on a work piece is disclosed. The housing is coupled to the work piece to form a chamber and a separation distance between a surface of the work piece and a surface of the housing is controlled using a coupling device. A working gas having a particle entrained therein is directed within the chamber to deposit the particle at the work piece. The coupling between the housing and the work piece may be a slidable coupling. The coupling device may include an air-bearing surface or a gasketed coupling.
    Type: Application
    Filed: August 20, 2013
    Publication date: July 17, 2014
    Applicant: International Business Machines Corporation
    Inventors: Theodore G. van Kessel, Brent A. Wacaser
  • Patent number: 8753716
    Abstract: A method includes depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions, controlling feeding of precursor vapor from a precursor source to a reaction chamber including the reactor containing the substrate with a first pulsing valve embedded into the precursor source, and conveying inactive gas to a precursor cartridge attached to the precursor source to raise pressure of the precursor cartridge and to ease subsequent flow of a mixture of precursor vapor and inactive gas towards the reaction chamber.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: June 17, 2014
    Assignee: Picosun Oy
    Inventors: Pekka J. Soininen, Sven Lindfors
  • Patent number: 8741428
    Abstract: A surface-coated cutting tool according to the present invention includes a base material and a coating film formed on the base material. The coating film includes at least one TiCN layer. The TiCN layer has a columnar crystal region. The columnar crystal region is characterized by having a composition of TiCxNy(in which 0.65?x/(x+y)?0.90), having a (422) plane having a plane spacing of 0.8765 ? to 0.8790 ? and having TC (220) showing a maximum value in an orientation index TC (hkl).
    Type: Grant
    Filed: July 4, 2011
    Date of Patent: June 3, 2014
    Assignee: Sumitomo Electric Hardmetal Corp.
    Inventors: Anongsack Paseuth, Yoshio Okada, Chikako Kojima, Hideaki Kanaoka, Erika Iwai, Hiroyuki Morimoto
  • Patent number: 8734903
    Abstract: A chemical vapor deposition process for the deposition of a silica layer on a glass substrate is provided. The process includes providing a glass substrate. The process also includes forming a gaseous precursor mixture comprising a silane compound, oxygen, water vapor, and a radical scavenger and directing the precursor mixture toward and along the glass substrate. The mixture reacts over the glass substrate to form a silica coating thereon.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: May 27, 2014
    Assignee: Pilkington Group Limited
    Inventor: Douglas M. Nelson