Coating Formed From Vaporous Or Gaseous Phase Reaction Mixture (e.g., Chemical Vapor Deposition, Cvd, Etc.) Patents (Class 427/255.28)
  • Patent number: 11866818
    Abstract: A coating of spectacle lenses is applied by physical vapor deposition (PVD). A method for physical vapor deposition includes: providing a crucible containing a first evaporation material and a second evaporation material, wherein the first evaporation material has a first vapor pressure and the second evaporation material has a second vapor pressure different from the first vapor pressure. A ratio of an exposed surface of the first evaporation material and an exposed surface of the second evaporation material in the crucible is adapted to counterbalance the difference in vapor pressure between the first and the second evaporation material. Concurrent evaporation of the first evaporation material and the second evaporation material from the same crucible take place. The disclosure further relates to a crucible for physical vapor deposition and a physical vapor deposition system in particular for coating an optical surface such as a spectacle lens.
    Type: Grant
    Filed: May 2, 2023
    Date of Patent: January 9, 2024
    Assignee: Carl Zeiss Vision International GmbH
    Inventor: Artur Laukart
  • Patent number: 11823946
    Abstract: Described herein is a technique capable of forming a film on a substrate with good uniformity. According to one aspect of the technique of the present disclosure, there is provided a method of manufacturing a semiconductor device including: processing a substrate by performing a cycle a predetermined number of times, the cycle comprising: (a) supplying a source gas; (b) discharging at least the source gas; (c) supplying a reactive gas; and (d) discharging at least the reactive gas. The substrate is kept stationary while each cycle is performed, and a rotation angle of rotating the substrate is calculated based on the predetermined number of times after each cycle is completed.
    Type: Grant
    Filed: March 13, 2020
    Date of Patent: November 21, 2023
    Assignee: Kokusai Electric Corporation
    Inventor: Daigi Kamimura
  • Patent number: 11788190
    Abstract: A semiconductor processing device is disclosed. The semiconductor device includes a reactor and a vaporizer configured to provide a reactant vapor to the reactor. The device can include a process control chamber between the vaporizer and the reactor. The device can include a control system configured to modulate a pressure in the process control chamber based at least in part on feedback of measured pressure in the process control chamber.
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: October 17, 2023
    Assignee: ASM IP Holding B.V.
    Inventor: Jereld Lee Winkler
  • Patent number: 11784044
    Abstract: There is provided a technique that includes: forming a film on a substrate including a recess formed on a surface of the substrate by performing a cycle a predetermined number of times, the cycle including: (a) supplying a precursor gas to the substrate; and (b) supplying a reaction gas to the substrate, wherein in (a), the precursor gas is supplied to the substrate separately a plurality of times, and a processing condition under which the precursor gas is supplied for a first time is set to a processing condition under which self-decomposition of the precursor gas is capable of being more suppressed than a processing condition under which the precursor gas is supplied for at least one subsequent time after the first time.
    Type: Grant
    Filed: March 6, 2023
    Date of Patent: October 10, 2023
    Assignee: Kokusai Electric Corporation
    Inventors: Takeo Hanashima, Kiyohisa Ishibashi
  • Patent number: 11771847
    Abstract: An assembly method of an apparatus suitable for pressurized liquid transfusion is disclosed. In one step, a nozzle is to be inserted into the through hole of an elastomeric ring. Because the dimension of the nozzle is larger than the through hole, the internal wall of the elastomeric ring is tensioned and deformed so as to fit the nozzle. In one step, the elastomeric ring along with the nozzle is inserted into a receptacle. The dimension of the outer contour of the elastomeric ring needs to be decreased so as to be accommodated by the receptacle. Due to the flexibility of the elastomeric ring, it resumes at least partially to its original shape. Therefore, proper seal is created and maintained between the elastomeric ring and the nozzle such that leakage and pressure loss may be prevented. The foregoing is capable of working under high-pressured environment for prolonged use.
    Type: Grant
    Filed: November 6, 2017
    Date of Patent: October 3, 2023
    Inventors: Shu-Pin Hsieh, Yi-Tong Chen, Yi-Ting Lin, Po-Chuan Chen, Chiu-Ju Shen
  • Patent number: 11694891
    Abstract: A film forming apparatus comprises: a processing chamber in which a substrate is accommodated; a gas supply configured to supply a gas containing a first monomer and a gas containing a second monomer into the processing chamber; a concentration distribution controller configured to control a gas flow within the processing chamber such that a concentration of a mixed gas including the gas containing the first monomer and the gas containing the second monomer on the substrate has a predetermined distribution; and a temperature distribution controller configured to control a temperature distribution of the substrate such that a temperature of a first region of the substrate is higher than a temperature of a second region of the substrate, the concentration of the mixed gas in a region corresponding to the first region being higher than the concentration of the mixed gas in a region corresponding to the second region.
    Type: Grant
    Filed: July 28, 2020
    Date of Patent: July 4, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Syuji Nozawa, Tatsuya Yamaguchi
  • Patent number: 11682565
    Abstract: An improved fluid delivery system and method that directly controls the concentration of constituent components in a fluid mixture delivered, for example, to a process chamber. Pressure of the fluid mixture can also be directly controlled. A concentration sensor capable of measuring concentration of all of the constituent components in a fluid mixture is used to provide signals used to vary the flow rate of constituent gases under a closed loop feedback system. The signal output of one or more pressure sensors can also be used to provide a signal used to vary the flow rate of constituent gases under a closed loop feedback system. By directly controlling these two extremely important process variables, embodiments of the present invention provide a significant advantage in measurement accuracy over the prior art, enable real-time process control, reduce system level response time, and allow for a system with a significant footprint reduction.
    Type: Grant
    Filed: August 4, 2021
    Date of Patent: June 20, 2023
    Inventors: Philip Ryan Barros, Greg Patrick Mulligan, Chris Melcer
  • Patent number: 11661653
    Abstract: Disclosed are vapor delivery systems comprise a housing body defining an interior volume therein, a plurality of flow resistors for receiving a carrier gas, to generate gas distribution lines in the interior volume, at least two surfaces having the solid or liquid precursor applied thereto to allow passage of the carrier gas thereover along the gas distribution lines to mix with a solid or liquid precursor vapor, a gas-collecting device downstream of the gas distribution lines to deliver a mixture of the carrier gas and the solid or liquid precursor vapor out of the system, and a flow controller fluidically connected to a carrier gas source to control a feed flow rate of the carrier gas feeding into the interior volume. A gas distribution flow rate along each gas distribution line is controlled by the feed flow rate of the carrier gas feeding into the interior volume.
    Type: Grant
    Filed: December 18, 2019
    Date of Patent: May 30, 2023
    Assignee: L'Air Liquide, Societe Anonyme Pour L'Etude Et L'Exploitation Des Procedes Georges Claude
    Inventor: Yumin Liu
  • Patent number: 11603589
    Abstract: The present disclosure relates to systems and methods of additive manufacturing that reduce or eliminates defects in the bulk deposition material microstructure resulting from the additive manufacturing process. An additive manufacturing system comprises evaporating a deposition material to form an evaporated deposition material and ionizing the evaporated deposition material to form an ionized deposition material flux. After forming the ionized deposition material flux, the ionized deposition material flux is directed through an aperture, accelerated to a controlled kinetic energy level and deposited onto a surface of a substrate. The aperture mechanism may comprise a physical, electrical, or magnetic aperture mechanism. Evaporation of the deposition material may be performed with an evaporation mechanism comprised of resistive heating, inductive heating, thermal radiation, electron heating, and electrical arc source heating.
    Type: Grant
    Filed: December 5, 2018
    Date of Patent: March 14, 2023
    Assignee: Arizona Thin Film Research, LLC
    Inventor: Patrick Morse
  • Patent number: 11600486
    Abstract: Embodiments of the semiconductor processing methods to form low-? films on semiconductor substrates are described. The processing methods may include flowing deposition precursors into a substrate processing region of a semiconductor processing chamber. The deposition precursors may include a silicon-containing precursor that has at least one vinyl group. The methods may further include generating a deposition plasma in the substrate processing region from the deposition precursors. A silicon-and-carbon-containing material, characterized by a dielectric constant (? value) less than or about 3.0, may be deposited on the substrate from plasma effluents of the deposition plasma.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: March 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Ruitong Xiong, Sure K. Ngo, Kang Sub Yim, Yijun Liu, Li-Qun Xia
  • Patent number: 11581201
    Abstract: A heat treatment apparatus includes: a processing container configured to accommodate and process a plurality of substrates in multiple tiers under a reduced-pressure environment; a first heater configured to heat the plurality of substrates accommodated in the processing container; a plurality of gas supply pipes configured to supply a gas to positions having different heights in the processing container; and a second heater provided on a gas supply pipe that supplies a gas to a lowermost position among the plurality of gas supply pipes, and configured to heat the gas in the gas supply pipe.
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: February 14, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuteru Obara, Tatsuya Yamaguchi, Yasuaki Kikuchi, Ryuji Kusajima, Shinya Nasukawa, Kazuyuki Kikuchi
  • Patent number: 11516596
    Abstract: A MEMS device and a method for manufacturing a MEMS device are provided. The MEMS device includes an anchor, a diaphragm structure, and a sealing film. The diaphragm structure is disposed over the anchor and has an opening through the diaphragm structure. The sealing film covers at least a portion of the opening of the diaphragm structure.
    Type: Grant
    Filed: October 30, 2020
    Date of Patent: November 29, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Wei-Chu Lin, Yi-Chuan Teng, Jung-Kuo Tu
  • Patent number: 11499232
    Abstract: A deposition apparatus and method of deposition are provided. The deposition apparatus includes a gas supply unit, including: a first process gas supply unit blowing a first process gas onto a deposition-target surface; a second process gas supply unit blowing a second process gas different from the first process gas onto the deposition-target surface of the substrate; and air curtain units blocking an area between an area where the process gas is blown and an area where the second process gas is blown, by blowing an inert gas.
    Type: Grant
    Filed: September 5, 2018
    Date of Patent: November 15, 2022
    Assignee: Samsung Display Co., Ltd.
    Inventors: Choelmin Jang, Sunghun Key, Junggon Kim, Myungsoo Huh
  • Patent number: 11495453
    Abstract: The present invention relates to a vapor deposition compound enabling thin-film deposition through vapor deposition, and particularly to nickel and cobalt precursors capable of being applied to atomic layer deposition (ALD) or chemical vapor deposition (CVD) and having superior thermal stability and reactivity, and a method of preparing the same.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: November 8, 2022
    Assignee: HANSOL CHEMICAL CO., LTD.
    Inventors: Jung Woo Park, Jang-Hyeon Seok, Hyo-Suk Kim, Min-Sung Park
  • Patent number: 11164739
    Abstract: According to a chemical vapor deposition method for depositing an organosilicate film on a substrate, a gaseous organosilicate composition is introduced into a vacuum chamber. The gaseous organosilicate composition includes a first silicon-containing precursor selected from an organosilane and an organosiloxane, and further includes at least one second silicon-containing precursor selected from compounds represented by the structure of Formula I: R1nSi(OR2)4-n ??(I), in which R1 is a linear, branched, or cyclic C2-C6 alkyl group; n=1-3; and R2 is a linear, branched, or cyclic C1-C6 alkyl group. A first energy source is applied to the gaseous organosilicate composition in the vacuum chamber to induce reaction of the first silicon-containing precursor and the at least one second silicon-containing precursor and thereby deposit the organosilicate film on at least a portion of the substrate.
    Type: Grant
    Filed: February 6, 2019
    Date of Patent: November 2, 2021
    Assignee: Versum Materials US, LLC
    Inventors: Raymond Nicholas Vrtis, Robert Gordon Ridgeway, Jennifer Lynn Anne Achtyl, William Robert Entley, Dino Sinatore, Kathleen Esther Theodorou
  • Patent number: 11158830
    Abstract: The disclosure discloses a perovskite film layer, a device and a preparation method for effectively improving the efficiency of perovskite optoelectronics. The perovskite film layer consists of a layer with discontinuous, irregularly distributed perovskite crystal grains and an organic insulating layer with a low refractive index embedded between the perovskite crystal grains. The perovskite crystal grains form a plurality of convex portions, and the organic insulating layer forms a plurality of concave portions between the convex portions. By adding an excess of an alkylammonium salt and/or an organic molecule with a specific functional group to perovskite precursor solution, a concave-convex film layer structure is spontaneously formed, and an upper charge transport layer and an electrode form pleated concave-convex structures.
    Type: Grant
    Filed: December 5, 2018
    Date of Patent: October 26, 2021
    Assignee: NANJING UNIVERSITY OF TECHNOLOGY
    Inventors: Jianpu Wang, Nana Wang, Yu Cao, Wei Huang
  • Patent number: 11075059
    Abstract: A deposition apparatus includes a deposition gas supply unit including an opening and closing valve. The deposition gas supply unit is configured to selectively supply a source gas or a mixture gas into a chamber. A cleaning gas supply unit is configured to supply a cleaning gas into the chamber. A deposition head includes a first deposition head including a first nozzle configured to supply the source gas and the cleaning gas and a second deposition head including a second nozzle configured to supply the source gas, the mixture gas, and the cleaning gas. An exhaust unit is configured to discharge the cleaning gas and remaining source and mixture gases from the chamber. A cleaning gas valve unit is configured to be selectively opened and closed to supply the cleaning gas to at least any one of the first deposition head and the second deposition head.
    Type: Grant
    Filed: January 4, 2019
    Date of Patent: July 27, 2021
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Junggon Kim, Sunghun Key, Choelmin Jang, Myungsoo Huh
  • Patent number: 11060184
    Abstract: Ferroelectric barium titanate (BaTiO3) epitaxial films grown by metal-organic chemical vapor deposition using a barium precursor having a low melting point and a stable vapor pressure.
    Type: Grant
    Filed: July 27, 2016
    Date of Patent: July 13, 2021
    Assignee: NORTHWESTERN UNIVERSITY
    Inventors: Bruce W. Wessels, Young Kyu Jeong
  • Patent number: 11000879
    Abstract: Provided is a method and apparatus for treating a substrate with a liquid. The substrate treating method comprises a pre-treating step for supplying the treatment liquid containing hydrogen fluoride (HF) to the substrate and treating the substrate before the surface modification step and a surface modification step for supplying an alkene-based chemical onto a substrate to change the surface of the substrate to a hydrophobic state. As a result, the surface of the substrate is uniform, and generation of particles can be reduced when the substrate is removed.
    Type: Grant
    Filed: May 23, 2017
    Date of Patent: May 11, 2021
    Assignee: SEMES CO., LTD.
    Inventor: Byungsun Bang
  • Patent number: 10984991
    Abstract: Described herein is a technique capable of capable of managing a substrate processing apparatus efficiently. According to one aspect of the technique of the present disclosure, there is provided a substrate processing apparatus including: process performing parts configured to process a substrate based on a program; a first controller configured to process the program; and a second controller configured to control the process performing parts based on data received from the first controller, wherein the first controller is further configured to determine whether or not a first controller provided in an additional substrate processing apparatus is malfunctioning based on operation data of the first controller provided in the additional substrate processing apparatus, and to perform an alternative control for the first controller provided in the additional substrate processing apparatus when it is determined that the first controller provided in the additional substrate processing apparatus is malfunctioning.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: April 20, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Yasuhiro Mizuguchi, Shun Matsui
  • Patent number: 10964512
    Abstract: Exemplary semiconductor processing systems may include a processing chamber, and may include a remote plasma unit coupled with the processing chamber. Exemplary systems may also include a mixing manifold coupled between the remote plasma unit and the processing chamber. The mixing manifold may be characterized by a first end and a second end opposite the first end, and may be coupled with the processing chamber at the second end. The mixing manifold may define a central channel through the mixing manifold, and may define a port along an exterior of the mixing manifold. The port may be fluidly coupled with a first trench defined within the first end of the mixing manifold. The first trench may be characterized by an inner radius at a first inner sidewall and an outer radius, and the first trench may provide fluid access to the central channel through the first inner sidewall.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: March 30, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Mehmet Tugrul Samir, Dongqing Yang, Dmitry Lubomirsky
  • Patent number: 10875101
    Abstract: A surface-coated cutting tool includes a substrate and a coating film that coats the substrate, wherein the coating film includes a hard coating layer constituted of a domain region and a matrix region, the domain region is a region having a plurality of portions divided and distributed in the matrix region, the domain region has a structure in which a first layer composed of a first Alx1Ti(1-x1) compound and a second layer composed of a second Alx2Ti(1-x2) compound are layered on each other, the matrix region has a structure in which a third layer composed of a third Alx3Ti(1-x3) compound and a fourth layer composed of a fourth Alx4Ti(1-x4) compound are layered on each other, the first AlTi compound and the second AlTi compound have a cubic crystal structure, the third AlTi compound and the fourth AlTi compound have a cubic crystal structure.
    Type: Grant
    Filed: December 27, 2018
    Date of Patent: December 29, 2020
    Assignee: Sumitomo Electric Hardmetal Corp.
    Inventors: Anongsack Paseuth, Yasuki Kido, Susumu Okuno, Shinya Imamura
  • Patent number: 10859745
    Abstract: The vehicle mirror includes a high-Re retardation film and a reflective layer. The high-Re retardation film has a front retardation of 5,000 nm or more, and the reflective layer is a reflective layer that is reflective in an unpolarized manner, such as a reflective metal layer. The vehicle mirror may be a vehicle mirror further including an image display device, wherein the high-Re retardation film, the reflective layer, and the image display device are disposed in this order, and the reflective layer is transflective.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: December 8, 2020
    Assignee: FUJIFILM Corporation
    Inventors: Takao Taguchi, Kazuhiro Oki, Mitsuyoshi Ichihashi
  • Patent number: 10850324
    Abstract: Disclosed herein are structures comprising a titanium, zirconium, or hafnium powder particle with titanium carbide, zirconium carbide, or hafnium carbide (respectively) nano-whiskers grown directly from and anchored to the powder particle. Also disclosed are methods for fabrication of such structures, involving heating the powder particles and exposing the particles to an organic gas.
    Type: Grant
    Filed: February 10, 2020
    Date of Patent: December 1, 2020
    Assignees: Consolidated Nuclear Security, LLC, UT-Battelle, LLC
    Inventors: Roland D. Seals, Paul A. Menchhofer, James O. Kiggans, Jr.
  • Patent number: 10847463
    Abstract: Methods for forming a copper seed layer having improved anti-migration properties are described herein. In one embodiment, a method includes forming a first copper layer in a feature, forming a ruthenium layer over the first copper layer in the feature, and forming a second copper layer on the ruthenium layer in the feature. The ruthenium layer substantially locks the copper layer there below in place in the feature, preventing substantial physical migration thereof.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: November 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zhiyuan Wu, Meng Chu Tseng, Mehul B. Naik, Ben-Li Sheu
  • Patent number: 10832904
    Abstract: Disclosed are methods and systems for providing oxygen doped silicon carbide. A layer of oxygen doped silicon carbide can be provided under process conditions that employ one or more silicon-containing precursors that have one or more silicon-hydrogen bonds and/or silicon-silicon bonds. The silicon-containing precursors may also have one or more silicon-oxygen bonds and/or silicon-carbon bonds. One or more radical species in a substantially low energy state can react with the silicon-containing precursors to form the oxygen doped silicon carbide film. The one or more radical species can be formed in a remote plasma source.
    Type: Grant
    Filed: September 5, 2017
    Date of Patent: November 10, 2020
    Assignee: Lam Research Corporation
    Inventor: Bhadri N. Varadarajan
  • Patent number: 10790188
    Abstract: Methods for filling a substrate feature with a seamless ruthenium gap fill are described. The methods include depositing a ruthenium film, oxidizing the ruthenium film to form an oxidized ruthenium film, reducing the oxidized ruthenium film to a reduced ruthenium film and repeating the oxidation and reduction processes to form a seamless ruthenium gap fill.
    Type: Grant
    Filed: October 12, 2018
    Date of Patent: September 29, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Nasrin Kazem, Jeffrey W. Anthis, David Thompson
  • Patent number: 10760159
    Abstract: Methods for depositing a yttrium-containing film through an atomic layer deposition process are described. Some embodiments of the disclosure utilize a plasma-enhanced atomic layer deposition process. Also described is an apparatus for performing the atomic layer deposition of the yttrium containing films.
    Type: Grant
    Filed: July 13, 2017
    Date of Patent: September 1, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Lakmal C. Kalutarage, Mark Saly, Thomas Knisley, Benjamin Schmiege, David Thompson
  • Patent number: 10723749
    Abstract: Metal complexes containing substituted allyl ligands and methods of using such metal complexes to prepare metal-containing films are provided.
    Type: Grant
    Filed: August 31, 2017
    Date of Patent: July 28, 2020
    Assignee: MERCK PATENT GMBH
    Inventors: Bin Xi, Joby Eldo, Charles Dezelah, Ravi Kanjolia, Guo Liu
  • Patent number: 10724140
    Abstract: A thermal chemical vapor deposition (CVD) system includes a bottom chamber, an upper chamber, a workpiece support, a heater and at least one shielding plate. The upper chamber is present over the bottom chamber. The upper chamber and the bottom chamber define a chamber space therebetween. The workpiece support is configured to support a workpiece in the chamber space. The heater is configured to apply heat to the workpiece. The shielding plate is configured to at least partially shield the bottom chamber from the heat.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: July 28, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Chan Lo, Yi-Fang Lai, Po-Hsiung Leu, Ding-I Liu, Si-Wen Liao, Kai-Shiung Hsu, Jheng-Uei Hsieh, Shian-Huei Lin, Jui-Fu Hsu, Cheng-Tsung Wu
  • Patent number: 10727070
    Abstract: A low resistance middle-of-line interconnect structure is formed without liner layers. A contact metal layer is deposited on source/drain regions of field-effect transistors and directly on the surfaces of trenches within a dielectric layer using plasma enhancement. Contact metal fill is subsequently provided by thermal chemical vapor deposition. The use of low-resistivity metal contact materials such as ruthenium is facilitated by the process. The process further facilitates the formation of metal silicide regions on the source/drain regions.
    Type: Grant
    Filed: September 20, 2018
    Date of Patent: July 28, 2020
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Alexander Reznicek, Oscar van der Straten, Chih-Chao Yang
  • Patent number: 10593871
    Abstract: Methods for forming tunnel barrier layers are provided, including a method comprising exposing a surface of a material, the surface free of oxygen, to an initial water pulse for a pulse time and at a pulse temperature, the pulse time and pulse temperature selected to maximize hydroxylation of the surface; and exposing the hydroxylated surface to alternating, separated pulses of precursors under conditions to induce reactions between the hydroxylated surface and the precursors to form a tunnel barrier layer on the surface of the material via atomic layer deposition (ALD), the tunnel barrier layer having an average thickness of no more than 1 nm and being formed without an intervening interfacial layer between the tunnel barrier layer and the surface of the material.
    Type: Grant
    Filed: May 22, 2018
    Date of Patent: March 17, 2020
    Assignee: UNIVERSITY OF KANSAS
    Inventors: Judy Z. Wu, Jamie Wilt, Ryan Goul, Jagaran Acharya
  • Patent number: 10577691
    Abstract: Disclosed are methods of depositing films of material on multiple semiconductor substrates in a multi-station processing chamber. The methods may include loading a first set of one or more substrates into the processing chamber at a first set of one or more process stations and depositing film material onto the first set of substrates by performing N cycles of film deposition. Thereafter, the methods may further include transferring the first set of substrates from the first set of process stations to a second set of one or more process stations, loading a second set of one or more substrates at the first set of process stations, and depositing film material onto the first and second sets of substrates by performing N? cycles of film deposition, wherein N? is not equal to N. Also disclosed are apparatuses and computer-readable media which may be used to perform similar operations.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: March 3, 2020
    Assignee: Lam Research Corporation
    Inventors: Romuald Nowak, Hu Kang, Adrien LaVoie, Jun Qian
  • Patent number: 10566610
    Abstract: A method of manufacturing a negative electrode for a non-aqueous electrolyte secondary battery includes the following. A negative electrode composite material layer including a planar region and a side-surface region is formed. An application material is prepared by mixing heat-resistant particles, thermoplastic resin particles, and a solvent. A planar coating film is formed by applying the application material to the planar region and a side-surface coating film is formed by applying the application material to the side-surface region. At least some of the thermoplastic resin particles are molten into a melt by heating the planar coating film at a temperature not lower than a melting point of the thermoplastic resin particles. A negative electrode is manufactured by drying the planar coating film containing the melt and the side-surface coating film containing the thermoplastic resin particles at a temperature lower than the melting point of the thermoplastic resin particles.
    Type: Grant
    Filed: March 12, 2018
    Date of Patent: February 18, 2020
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Atsushi Sugihara
  • Patent number: 10541142
    Abstract: A plasma processing apparatus includes a support structure configured to support a workpiece and a first drive device configured to rotate the support structure about a first axis extending in a direction orthogonal to a vertical direction. The support structure includes a holding unit including an electrostatic chuck and a container provided under the holding unit. The container includes a tubular container body, and a bottom cover configured to close a bottom side opening of the container body and to be detachable from the container body. A maintenance method includes: rotating a support structure about a first axis such that the bottom cover is positioned above an electrostatic chuck, removing the bottom cover from the container body, and maintaining a component provided in the container body.
    Type: Grant
    Filed: April 10, 2017
    Date of Patent: January 21, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuya Matsumoto, Yuki Hosaka, Mitsunori Ohata, Takashi Yamamoto
  • Patent number: 10520768
    Abstract: A novel display panel that is highly convenient or reliable is provided. A novel input/output panel that is highly convenient or reliable is provided. A novel data processing device that is highly convenient or reliable is provided. The display panel includes a layer containing a liquid crystal material, a first pixel, a second pixel, and an anti-reflection structure. The layer containing a liquid crystal material includes a first region, a second region, and a third region. The first pixel includes the first region. The second pixel includes the second region. The anti-reflection structure includes a region overlapping with the third region. The anti-reflection structure has a function of reducing reflectance of visible light which enters through the layer containing a liquid crystal material.
    Type: Grant
    Filed: March 27, 2017
    Date of Patent: December 31, 2019
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Daisuke Kubota, Masaru Nakano, Hiroyuki Miyake
  • Patent number: 10522467
    Abstract: There is provided a ruthenium wiring, including: a TiON film formed as a base film in a recess formed in a predetermined film on a surface of a substrate; and a ruthenium film formed on the TiON film so as to fill the recess.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: December 31, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tadahiro Ishizaka, Toshiaki Fujisato, Cheonsoo Han
  • Patent number: 10472714
    Abstract: Provided are methods and systems for providing silicon carbide class of films. The composition of the silicon carbide film can be controlled by the choice of the combination of precursors and the ratio of flow rates between the precursors. The silicon carbide films can be deposited on a substrate by flowing two different organo-silicon precursors to mix together in a reaction chamber. The organo-silicon precursors react with one or more radicals in a substantially low energy state to form the silicon carbide film. The one or more radicals can be formed in a remote plasma source.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: November 12, 2019
    Assignee: Novellus Systems, Inc.
    Inventor: Bhadri N. Varadarajan
  • Patent number: 10392701
    Abstract: The present disclosure relates to a superhydrophobic coating material and a method for manufacturing the superhydrophobic coating material. The superhydrophobic coating material according to the present disclosure includes a substrate provided with a three-dimensional nano structure; and a coating layer comprising a rare earth metal oxide formed on the three-dimensional nano structure. The method for manufacturing the superhydrophobic coating material according to the present disclosure includes preparing a substrate provided with a three-dimensional nano structure; and forming a coating layer comprising a rare earth metal oxide on the three-dimensional nano structure by supplying a precursor including a rare earth metal and an oxidant one by one onto the substrate, and the temperature of the substrate is controlled in the forming step so that an atomic ratio of a carbon element in the coating layer is less than 1% to form the coating layer with superhydrophobic property.
    Type: Grant
    Filed: July 31, 2015
    Date of Patent: August 27, 2019
    Assignee: Industry-Academic Cooperation Foundation, Yonsei University
    Inventors: Hyungjun Kim, Il-Kwon Oh, Han-Bo-Ram Lee
  • Patent number: 10319862
    Abstract: Described herein are apparatus comprising one or more silicon-containing layers and a metal oxide layer. Also described herein are methods for forming one or more silicon-containing layers to be used, for example, as passivation layers in a display device. In one particular aspect, the apparatus comprises a transparent metal oxide layer, a silicon oxide layer and a silicon nitride layer. In this or other aspects, the apparatus is deposited at a temperature of 350° C. or below. The silicon-containing layers described herein comprise one or more of the following properties: a density of about 1.9 g/cm3 or greater; a hydrogen content of about 4×1022 cm?3 or less, and a transparency of about 90% or greater at 400-700 nm as measured by a UV-visible light spectrometer.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: June 11, 2019
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Robert Gordon Ridgeway, Andrew David Johnson, Anupama Mallikarjunan, Raymond Nicholas Vrtis, Xinjian Lei, Mark Leonard O'Neill, Manchao Xiao, Jianheng Li, Michael T. Savo
  • Patent number: 10283404
    Abstract: Provided are methods of forming diffusion barriers and adhesion layers for interconnects such as cobalt (Co) interconnects or ruthenium (Ru) interconnects. The methods involve selective deposition of tungsten carbon nitride (WCN) films on the oxide surfaces of a feature including a Co surface. The selective growth of WCN on oxide allows the contact resistance at an interface such as a Co—Co interface or a Co—Ru interface to be significantly reduced while maintaining good film coverage, adhesion, and/or barrier properties on the sidewall oxide surfaces.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: May 7, 2019
    Assignee: Lam Research Corporation
    Inventors: Jeong-Seok Na, Megha Rathod, Chiukin Steven Lai, Raashina Humayun
  • Patent number: 10249489
    Abstract: Low dielectric organosilicon films are deposited by a process comprising the steps of: providing a substrate within a vacuum chamber; introducing into the vacuum chamber a gaseous silicon containing precursor composition comprising at least one organosilicon precursor selected from the group consisting of Formula (I) and Formula (II): wherein, R1, R2, R3, R4, R5, and R6 are as defined herein, and applying energy to the gaseous structure forming composition in the vacuum chamber to induce reaction of the at least one organosilicon precursor to deposit a film on at least a portion of the substrate.
    Type: Grant
    Filed: October 20, 2017
    Date of Patent: April 2, 2019
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Raymond Nicholas Vrtis, Robert Gordon Ridgeway, Jennifer Lynn Anne Achtyl, William Robert Entley, Dino Sinatore, Kathleen Esther Theodorou, Andrew J. Adamczyk
  • Patent number: 10161040
    Abstract: A showerhead is provided. The showerhead includes a body and a plurality of first dispersion pins. The body has one side having a plurality of injection holes penetrated therethrough and the other side facing the one side. The plurality of first dispersion pins protruding from the plurality of spray holes of the one side to the other side such that end portions thereof support the other side. The first dispersion holes have first gas inlet holes on one side and first passages connected to the first gas inlet holes therein. Gas introduced between the one side and the other side is immediately discharged to the outside of the body through the plurality of spray holes by passing the first gas inlet holes and the first passages.
    Type: Grant
    Filed: June 25, 2014
    Date of Patent: December 25, 2018
    Assignee: Korea Institute of Industrial Technology
    Inventors: Chul Soo Byun, Il Yong Chung
  • Patent number: 10156014
    Abstract: A gas treatment apparatus includes: a mounting part of a substrate; a gas diffusion plate of a processing gas; gas dispersion parts forming a diffusion space of the processing gas between the gas dispersion parts and the gas diffusion plate; and a flow path having an upstream side forming a common flow path of the gas dispersion parts and a downstream side connected to each of the gas dispersion parts, lengths from the common flow path to respective of the gas dispersion parts being aligned, wherein centers of the gas dispersion parts are located around a central portion of the diffusion space, and the gas dispersion parts are arranged along first circles with two or more of the gas dispersion parts arranged on each of the first circles and distances from the central portion of the diffusion space to the centers of gas dispersion parts being different from one another.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: December 18, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takashi Kakegawa, Yuichi Furuya, Daisuke Toriya
  • Patent number: 10131680
    Abstract: The present disclosure provides a Group 4 metal element-containing novel alkoxy compound, a method of preparing the Group 4 metal element-containing alkoxy compound, a precursor composition including the Group 4 metal element-containing alkoxy compound for depositing a film, and a method of depositing a Group 4 metal element-containing film using the precursor composition.
    Type: Grant
    Filed: August 8, 2017
    Date of Patent: November 20, 2018
    Assignee: UP CHEMICAL CO., LTD.
    Inventors: Won Seok Han, Wonyong Koh, Myeong-Ho Park
  • Patent number: 10118940
    Abstract: An alkoxide compound represented by the following formula (I), and a raw material for thin film formation containing the alkoxide compound. In the formula, R1 represents a linear or branched alkyl group having 2 to 4 carbon atoms, and R2 and R3 each represent a linear or branched alkyl group having 1 to 4 carbon atoms. In the formula (I), R1 is preferably an ethyl group. It is also preferred that one or both of R2 and R3 be an ethyl group. The raw material for thin film formation including an alkoxide compound represented by general formula (I) is preferably used as a raw material for chemical vapor deposition.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: November 6, 2018
    Assignee: ADEKA CORPORATION
    Inventors: Senji Wada, Akio Saito, Tomoharu Yoshino
  • Patent number: 10060031
    Abstract: Provided is a deposition apparatus including a connection channel connecting a gas inflow channel and a gas outflow channel so as to increase cleaning efficiency by providing a portion of cleaning gas to the dead space of the gas inflow channel and controlling a flow of a cleaning gas.
    Type: Grant
    Filed: January 3, 2017
    Date of Patent: August 28, 2018
    Assignee: ASM IP Holding B.V.
    Inventors: Dae Youn Kim, Sang-Jin Jeong, Hyun Soo Jang, Young Hoon Kim, Jeong Ho Lee
  • Patent number: 10040960
    Abstract: There are provided a silver ink composition which is capable of forming metallic silver having sufficient electrical conductivity without carrying out a heat treatment at high temperatures; and a conductor and communication device which are obtained using this silver ink composition.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: August 7, 2018
    Assignee: TOPPAN FORMS CO., LTD.
    Inventors: Takuya Sekiguchi, Keiko Omata
  • Patent number: 10008381
    Abstract: Some embodiments include methods of forming rutile-type titanium oxide. A monolayer of titanium nitride may be formed. The monolayer of titanium nitride may then be oxidized at a temperature less than or equal to about 550° C. to convert it into a monolayer of rutile-type titanium oxide. Some embodiments include methods of forming capacitors that have rutile-type titanium oxide dielectric, and that have at least one electrode comprising titanium nitride. Some embodiments include thermally conductive stacks that contain titanium nitride and rutile-type titanium oxide, and some embodiments include methods of forming such stacks.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: June 26, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Nik Mirin, Tsai-Yu Huang, Vishwanath Bhat, Chris M. Carlson, Vassil N. Antonov
  • Patent number: 10008576
    Abstract: A method of controlling the facet height of raised source/drain epi structures using multiple spacers, and the resulting device are provided. Embodiments include providing a gate structure on a SOI layer; forming a first pair of spacers on the SOI layer adjacent to and on opposite sides of the gate structure; forming a second pair of spacers on an upper surface of the first pair of spacers adjacent to and on the opposite sides of the gate structure; and forming a pair of faceted raised source/drain structures on the SOI, each of the faceted source/drain structures faceted at the upper surface of the first pair of spacers, wherein the second pair of spacers is more selective to epitaxial growth than the first pair of spacers.
    Type: Grant
    Filed: April 18, 2017
    Date of Patent: June 26, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: George Robert Mulfinger, Xusheng Wu