Moving The Base Patents (Class 427/255.5)
  • Publication number: 20100266766
    Abstract: According to embodiments described herein, a guiding device for contactless guiding of a web in a web coating process under vacuum conditions is provided. The guiding device includes a curved surface for facing the web and a group of gas outlets disposed in the curved surface and adapted for giving off a gas flow to form a hover cushion between the curved surface and the web. The guiding device further includes a gas distribution system for selectively providing the gas flow to a first subgroup of the gas outlets and for preventing the gas from flowing to a second subgroup of the gas outlets.
    Type: Application
    Filed: April 21, 2009
    Publication date: October 21, 2010
    Inventors: Stefan Hein, Andre Herzog, Peter Skuk, John D. Busch
  • Publication number: 20100247747
    Abstract: A first evaporation source is disposed such that one predetermined film deposition material is deposited on one region of a substrate; a second evaporation source is disposed such that another predetermined film deposition material is deposited on another region of the substrate; and the substrate is spun such that different materials are contained at a predetermined proportion on a film-deposition surface of the substrate. By disposing the plurality of evaporation sources at different positions, a thin film in which a plurality of materials are mixed, a thin film in which a plurality of materials are arranged in a grid pattern, or a thin film in which a plurality of monomolecular layers are stacked in a film thickness direction (the state can also be substantially called a super multi-monomolecular-layers) can be formed.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Inventor: Shunpei Yamazaki
  • Patent number: 7803426
    Abstract: An appliance that uses a substantially carbon-free hydrogen is disclosed. The appliance includes a converter, a hydrogen storage container including a carbon-based nanostructured material, a charger, a discharger and, optionally, a controller is disclosed. The hydrogen storage container is capable of storing the substantially carbon-free hydrogen in a condensed state. In addition to the carbon-based nanostructured material, the container may include a metal capable of acting as both a seed for the formation of the nanostructured material and a facilitator for promoting the storage in the condensed state of the substantially carbon-free gaseous hydrogen provided to the storage container.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: September 28, 2010
    Assignee: General Electric Company
    Inventor: Andrei Colibaba-Evulet
  • Patent number: 7794789
    Abstract: A multi-station deposition apparatus capable of simultaneous processing multiple substrates using a plurality of stations, where a gas curtain separates the stations. The apparatus further comprises a multi-station platen that supports a plurality of wafers and rotates the wafers into specific deposition positions at which deposition gases are supplied to the wafers. The deposition gases may be supplied to the wafer through single zone or multi-zone gas dispensing nozzles.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: September 14, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mei Chang, Lawrence C. Lei, Walter B. Glenn
  • Patent number: 7785663
    Abstract: A successive vapor deposition system in which a vapor deposition material is heated, vaporized in a vacuum, and deposited onto a vapor deposition area of a substrate, includes a conveyer which conveys the substrate in a conveying direction parallel to a plane on which the substrate lies, wherein the vapor deposition area faces downward and is exposed through the underside of the conveyer; a plurality of vapor deposition chambers aligned in the conveying direction, each the vapor deposition chamber including a space through which the substrate is conveyed; at least one container positioned in each of the plurality of vapor deposition chambers below the plane on which the substrate lies, and containing the vapor deposition material, wherein a width of the container covers the vapor deposition area in a direction perpendicular to the conveying direction; and a heating medium provided for the container.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: August 31, 2010
    Assignee: Rohm, Co., Ltd.
    Inventors: Junji Kido, Tokio Mizukami
  • Patent number: 7780821
    Abstract: A method for treating/processing substrates/workpieces in a multi-chamber treatment/processing apparatus, comprising: providing a multi-chamber treatment/processing apparatus comprising at least a pair of operatively interconnected upstream and downstream treatment/processing chambers; providing each of the chambers with at least one substrate/workpiece; treating/processing the at least one substrate/workpiece positioned in each of the chambers; evacuating process gas from each of the chambers during or upon completion of the treating/processing of the at least one substrate/workpiece positioned therein; removing the at least one substrate/workpiece from the downstream treatment/processing chamber and initiating transport of the at least one substrate/workpiece from the upstream treatment/processing chamber to the downstream treatment/processing chamber, comprising initiating a flow of the process gas to the evacuated downstream treatment/processing chamber prior to completion of transport of the substrate
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: August 24, 2010
    Assignee: Seagate Technology LLC
    Inventors: Thomas Patrick Nolan, Jeffrey Shane Reiter
  • Publication number: 20100200545
    Abstract: Embodiments of the present invention provide apparatus and methods for supporting, positioning or rotating a semiconductor substrate during processing. One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate on a substrate receiving surface of a susceptor, and rotating the susceptor and the substrate by delivering flow of fluid from one or more rotating ports.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 12, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Blake Koelmel, Nyi O. Myo
  • Publication number: 20100189900
    Abstract: Systems and methods for atomic layer deposition (ALD) on a flexible substrate involve guiding the substrate back and forth between spaced-apart first and second precursor zones and through a third precursor zone interposed between the first and second precursor zones, so that the substrate transits through each of the precursor zones multiple times. Systems may include a series of turning guides spaced apart along the first and second precursor zones for supporting the substrate along an undulating transport path. As the substrate traverses back and forth between the first and second precursor zones and through the third precursor zone, it passes through a first series of flow-restricting passageways of a first isolation region interposed between the first and third precursor zones and a second series of flow-restricting passageways of a second isolation region interposed between the second and third precursor zones.
    Type: Application
    Filed: April 6, 2010
    Publication date: July 29, 2010
    Applicant: Lotus Applied Technology, LLC
    Inventors: Eric R. Dickey, William A. Barrow
  • Publication number: 20100189559
    Abstract: This invention relates to a fixture for use in a physical vapor deposition coating operation which comprises a support structure 14 comprising a circular base member 10, a circular top member 11 opposite the circular base member 10, and a plurality of structural members 12 joining said top member 11 to said base member 10; a plurality of panel members 13 aligned in a vertical direction around the outer periphery of said support structure 14 forming a cylinder-like structure; said panel members 13 including a plurality of apertures for holding workpieces 19 and 35 to which a coating is to be applied; and said apertures positioned on said panel members 13 so that said workpieces 19 and 35 are aligned in a staggered vertical direction. This invention also relates to a method for simultaneously coating a plurality of workpieces 19 and 35, such as gas turbine compressor blades and vanes, with erosion resistant coatings using the fixture of this invention.
    Type: Application
    Filed: June 5, 2006
    Publication date: July 29, 2010
    Inventors: David Sharp, Albert Feuerstein, Scott Cain
  • Patent number: 7713588
    Abstract: A piezo-electric film forming method includes (1) a first moving step of moving a nozzle with respect to a substrate along a first direction to form a first piezo-electric band extending along the first direction, (2) a measuring step of measuring thickness distribution along the width of the first piezo-electric band, (3) a calculating step of calculating a shifting distance based on the thickness distribution, (4) a shifting step of moving the nozzle with respect to the substrate along a second direction by the calculated shifting distance, wherein the second direction intersects with the first direction, and (5) a second moving step of moving the nozzle with respect to the substrate along the first direction to form a second piezo-electric band extending along the first direction. The piezo-electric film is formed such that the first piezo-electric band and the second piezo-electric band are overlapped.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: May 11, 2010
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventor: Motohiro Yasui
  • Patent number: 7713593
    Abstract: A surface treatment method using a plasma treatment apparatus which has an electric discharge generation portion facing a surface of a substrate with a gap therebetween, the substrate being placed on a supporting body, and a construction in which the gap is supplied with a treatment gas, the method includes: applying different voltages between the electric discharge generation portion and the supporting body such that plasma is obtained from the treatment gas supplied to the gap; moving one of the electric discharge generation portion and the substrate relative to the other in a first direction, while the surface of the substrate being exposed to the plasma; and moving, after the relative movement in the first direction and the exposure above referenced, one of the electric discharge generation portion and the substrate relative to the other in a second direction opposite to the first direction, while the surface of the substrate being exposed to the plasma.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: May 11, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Kei Hiruma, Katsuhiro Takahashi
  • Publication number: 20100075036
    Abstract: A vapor deposition device 100 for moving a sheet-like substrate 4 in a roll-to-roll system in a chamber 2 to continuously form a vapor deposition film on the substrate 4. The vapor deposition device 100 comprises an evaporation source 9 for evaporating a vapor-depositing material; a transportation section including first and second rolls 3 and 8 for holding the substrate 4 in the state of being wound therearound and a guide section for guiding the substrate 4; and a shielding section, located in a vapor deposition possible zone, for forming a shielded zone which is not reachable by the vapor-depositing material from the evaporation source 9.
    Type: Application
    Filed: March 10, 2008
    Publication date: March 25, 2010
    Inventors: Sadayuki OKAZAKI, Kazuyoshi HONDA, Tomofumi YANAGI, Shoichi IMASHIKU
  • Publication number: 20100068381
    Abstract: A chemical vapor deposition reactor has a wafer carrier which cooperates with a chamber of the reactor to facilitate laminar flow of reaction gas within the chamber and a plurality of injectors configured in flow controllable zones so as to mitigate depletion.
    Type: Application
    Filed: November 23, 2009
    Publication date: March 18, 2010
    Inventor: Heng Liu
  • Publication number: 20100068412
    Abstract: The invention relates to a coating process for coating at least one side of a running substrate, by vacuum evaporation, with a layer of a sublimable metal or metal alloy, in which said metal or metal alloy is positioned so as to face said side of the substrate in the form of at least two ingots placed in contact with one another, that surface of said ingots facing said side of the substrate being kept parallel to the substrate and at a constant distance from the latter during coating, and also to a coating plant for implementing the process and to a feeder (1) for supply
    Type: Application
    Filed: January 16, 2008
    Publication date: March 18, 2010
    Inventors: Daniel Chaleix, Daniel Jacques, Florent Sponem
  • Publication number: 20100055311
    Abstract: [Object] To enable a deposition area of a base film to be protected and realize stable film traveling performance. [Solving Means] A roll-to-roll vacuum deposition apparatus according to the present invention includes a guide unit including a guide roller and an auxiliary roller, the guide roller including a pair of annular guide portions that support side edge portions of a base film, the auxiliary roller being opposed to the guide roller and pressing the side edge portions of the base film against the pair of guide portions. As a result, a deposition area of the base film and roll surfaces of the guide roller and auxiliary roller of the guide unit can be prevented from being brought into contact with each other, and the deposition area) can thus be protected.
    Type: Application
    Filed: April 18, 2008
    Publication date: March 4, 2010
    Applicant: ULVAC, INC
    Inventors: Takayoshi Hirono, Isao Tada, Atsushi Nakatsuka
  • Patent number: 7645492
    Abstract: A plasma coating system includes at least one coating station with a first side and a second side defining a pathway with at least one bend. The coating station also includes a first plasma arc that provides a plasma jet directed towards a substrate. The first plasma arc is positioned on either the first side or the second side of the bend.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: January 12, 2010
    Assignee: Exatec LLC
    Inventor: Steven M. Gasworth
  • Publication number: 20090321321
    Abstract: The invention provides an adsorbent for removing sulfur from cracking gasoline or diesel fuel, which adsorbent comprises: (1) a carrier consisting of a source of silica, an inorganic oxide binder, and at least one oxide of metal selected from Groups IIB, VB and VIB; (2) at least one accelerant metal which is capable of reducing the sulfur in oxidized state to hydrogen sulfide and has a ?<0.5, wherein ?=(the amount in percentage of accelerant metal in crystal phase)/(the amount in percentage of accelerant metal in the adsorbent). The active components in the adsorbent can be evenly dispersed on the carrier in a matter close to monolayer dispersion, and which greatly improves the activity of the adsorbent. The preparation method and the use of the above adsorbent are provided.
    Type: Application
    Filed: June 30, 2009
    Publication date: December 31, 2009
    Applicants: China Petroleum & Chemical Corporation, Research Institute of Petroleum Processing, Sinopec
    Inventors: Jun Long, Huiping Tian, Wei Lin
  • Publication number: 20090324823
    Abstract: [Object] To carry out high-quality deposition processing while effectively maintaining a function of cleaning a can roller by a cleaning unit. [Solving Means] In the present invention, prior to deposition onto a base film, a cleaning unit is brought into contact with a cooling can roller to clean the can roller rotating in a non-cooled state, whereby it becomes possible to prevent excessive cooling of the cleaning unit and inhibit fallaway of removed dust so that the cleaning unit can efficiently carry out dust removal processing of the cooling roller. In addition, by canceling an in-contact state of the cleaning unit and the can roller after the end of the cleaning, the cleaning unit can be prevented from being cooled by a cooling operation of the can roller during deposition, thus making it possible to hold the dust removed from a circumferential surface of the can roller without letting it fall away.
    Type: Application
    Filed: November 16, 2007
    Publication date: December 31, 2009
    Applicant: ULVAC, INC.
    Inventors: Takayoshi Hirono, Tsunehito Nomura, Isao Tada, Atsushi Nakatsuka
  • Publication number: 20090304924
    Abstract: An apparatus and method for large area high speed atomic layer chemical vapor processing wherein continuous and alternating streams of reactive and inert gases are directed towards a co-axially mounted rotating cylindrical susceptor from a plurality of composite nozzles placed around the perimeter of the processing chamber. A flexible substrate is mounted on the cylindrical susceptor. In one embodiment, the process reactor has four composite injectors arranged substantially parallel to the axis of rotation of the cylindrical susceptor. In the other embodiment, the susceptor cross section is a polygon with a plurality of substrates mounted on its facets. The reactor can be operated to process multiple flexible or flat substrates with a single atomic layer precision as well as high-speed chemical vapor processing mode. The atomic layer chemical vapor processing system of the invention also has provisions to capture unused portion of injected reactive chemical precursors downstream.
    Type: Application
    Filed: March 3, 2006
    Publication date: December 10, 2009
    Inventor: Prasad Gadgil
  • Publication number: 20090291209
    Abstract: Atomic layer deposition apparatus for depositing a film in a continuous fashion. The apparatus includes a process tunnel, extending in a transport direction and bounded by at least a first and a second wall. The walls are mutually parallel and allow a flat substrate to be accommodated there between. The apparatus further includes a transport system for moving a train of substrates or a continuous substrate in tape form, through the tunnel. At least the first wall of the process tunnel is provided with a plurality of gas injection channels that, viewed in the transport direction, are connected successively to a first precursor gas source, a purge gas source, a second precursor gas source and a purge gas source respectively, so as to create a tunnel segment that—in use—comprises successive zones containing a first precursor gas, a purge gas, a second precursor gas and a purge gas, respectively.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 26, 2009
    Applicant: ASM International N.V.
    Inventors: Ernst H.A. Granneman, Sebastiaan E. van Nooten
  • Patent number: 7608307
    Abstract: A method of forming film on a substrate, in which in a preliminary step information on film thickness deposited on a test substrate prepared for use in collecting information over a fixed irradiation time is obtained in advance while shining a laser beam on a target, there being a fixed positional relationship between spatial positions of the test substrate and an incidence point of the laser beam on the target, or while shining the laser beam on the target while rotating the test substrate. In a main step, a deposition time at each relative positional relationship is adjusted based on film-thickness distribution information obtained in the preliminary step while spatially moving or rotating the substrate or substrate holder about a specific central axis of rotation relative to the incidence point of the laser beam to the target, or while performing both the relative rotation and relative movement.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: October 27, 2009
    Assignee: National Institute of Advanced Industrial Science and Technology
    Inventor: Shigeki Sakai
  • Publication number: 20090258141
    Abstract: An apparatus includes a workpiece support, a source for emitting a plume of coating material that flows toward the workpiece support, and plume influencing structure between the source and the workpiece support. The plume influencing structure includes a shield with plural openings extending therethrough approximately parallel to a general direction of flow of the plume away from the source. According to a different aspect, a method includes emitting from a source a plume of coating material that flows toward a workpiece support, and adjusting the flow of the plume with a shield between the source and the workpiece support, the shield having plural openings extending therethrough approximately parallel to a general direction of flow of the plume.
    Type: Application
    Filed: April 10, 2008
    Publication date: October 15, 2009
    Applicant: RAYTHEON COMPANY
    Inventors: Daniel B. Mitchell, Geoffrey G. Harris, Douglas J. Brown
  • Publication number: 20090238971
    Abstract: An epitaxial wafer manufacturing apparatus including: a chamber; a gas introduction port provided in the chamber and configured to introduce a reaction gas into the chamber; a gas exhaust port provided in the chamber and configured to exhaust the reaction gas from inside the chamber; a rotating unit provided inside the chamber; a wafer holder provided in an upper portion of the rotating unit and configured to hold a wafer; and an annular flow-regulating wall being spaced from the rotating unit and the wafer holder, the annular flow-regulating surrounding the upper portion of the rotating unit and a upper portion of the wafer holder, and the annular flow-regulating expanding downward. The flow-regulating wall has an upper end being located above the wafer holder. The upper end has a smaller inner diameter than an outer periphery of the wafer holder. The flow-regulating wall has a lower end being located below an upper surface of the rotating unit.
    Type: Application
    Filed: March 23, 2009
    Publication date: September 24, 2009
    Inventors: Shinya Higashi, Masashi Aizawa
  • Publication number: 20090233108
    Abstract: A vacuum film formation method for forming at least one inorganic layer on a support, which comprise transporting a support of which the area of the surface to be coated with an inorganic layer formed thereon is a (unit: cm2) into a first vacuum tank having a capacity of at most 100 a (unit: cm3) under atmospheric pressure, degassing the first vacuum tank into a vacuum, transporting the support from the first vacuum tank to a second vacuum tank while the vacuum condition is kept as such, and forming at least one inorganic layer on the support in the second vacuum tank.
    Type: Application
    Filed: March 16, 2009
    Publication date: September 17, 2009
    Inventor: Naoki TSUKAMOTO
  • Publication number: 20090208761
    Abstract: The radiation-selective absorber coating (20) has two barrier layers (24a, 24b), an IR-reflecting layer (21) arranged thereon, an absorption layer (22) arranged above the IR-reflecting (21) and an antireflection layer (23) over the absorption layer (22). The absorber tube (13) is a steel tube (1) with the radiation-selective absorber coating (20) applied to the outside thereof. In the process of coating the absorber tube (13) a first oxide barrier layer (24a) is applied to a steel tube by thermal oxidation; a second barrier layer (24b) is then applied by physical gas phase deposition of silicon with supply of oxygen; the IR-reflecting layer (21) is then applied by gas phase deposition of gold, silver, platinum or copper; the absorption layer (22) is then applied by deposition of aluminium and molybdenum; and a final antireflection layer (23) is applied by deposition of silicon with supply of oxygen.
    Type: Application
    Filed: February 17, 2009
    Publication date: August 20, 2009
    Inventors: Kamel SILMY, Jan SCHULTE-FISCHEDICK, Thomas KUCKELKORN, Christina HILDEBRANDT, Wolfgang GRAF, Andreas GEORG
  • Patent number: 7553518
    Abstract: A substrate processing apparatus includes a reaction chamber for simultaneously processing a plurality of process substrates, a boat for loading the process substrates into the reaction chamber, and a stocker for storing a multiple number of dummy substrates, at least a portion of the dummy substrates being loaded into the reaction chamber together with the process substrates through the use of the boat. A substrate cleaning process is carried out by loading dummy substrates to be cleaned into the reaction chamber through the use of the boat and introducing a cleaning gas into the reaction chamber.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: June 30, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Kouji Tometsuka
  • Patent number: 7547465
    Abstract: A multi-station deposition apparatus capable of simultaneous processing multiple substrates using a plurality of stations, where a gas curtain separates the stations. The apparatus further comprises a multi-station platen that supports a plurality of wafers and rotates the wafers into specific deposition positions at which deposition gases are supplied to the wafers. The deposition gases may be supplied to the wafer through single zone or multi-zone gas dispensing nozzles.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: June 16, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Mei Chang, Lawrence C. Lei, Walter B. Glenn
  • Publication number: 20090148599
    Abstract: A vacuum coating unit includes a reactive gas inlet, at least one PVD coating source with a laminar cathode and a substrate carrier containing a multiplicity of substrates. The substrate carrier forms a two dimensional horizontal extent, and the carrier is between at least two PVD coating sources. The substrates are cutting tools with at least one cutting edge in their peripheral margin region, which are distributed in a plane of the two dimensional extent of the substrate carrier. The substrate carrier is in a horizontal plane in the vacuum process chamber spaced between the laminar cathodes of the PVD coating sources and positioned such that at least a portion of each of the at least one cutting edge includes an active cutting edge and this active cutting edge is oriented opposite at least one of the cathodes of the PVD coating sources exposed at any time along a line of sight.
    Type: Application
    Filed: November 13, 2008
    Publication date: June 11, 2009
    Inventors: JUERGEN RAMM, CHRISTIAN WOHLBAB
  • Patent number: 7543593
    Abstract: A substrate processing apparatus is provided. The apparatus includes a plurality of fluid suppliers 61, 61, 63 for supplying different processing fluids. In processing a wafer W, the substrate processing apparatus moves the fluid suppliers 61, 62, 63 along the peripheral part of the wafer W relatively. The fluid suppliers 61, 62, 63 are arranged in a direction extending from the circumference of the wafer W to its inside. With the arrangement, the apparatus is capable of stable processing of the wafer W in spite of rotating the wafer W at a low speed. Further, it is possible to improve a throughput of the apparatus in resist processing.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: June 9, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Takehiko Orii, Tatsuya Nishida, Osamu Kuroda
  • Publication number: 20090117272
    Abstract: A layer depositing device comprises a chamber (10) having a substrate carrier (12) for receiving at least one substrate (13) to be coated, and a process gas space (11), comprising a partition (23) that separates a first segment (21) of the process gas space (11) from a second segment (22) of the process gas space (11). The layer depositing device has a device (44) for moving the substrate (13) relative to the partition (23).
    Type: Application
    Filed: September 29, 2008
    Publication date: May 7, 2009
    Applicant: OSRAM Opto Semiconductors GmbH
    Inventor: Alexander BEHRES
  • Patent number: 7521089
    Abstract: Method and apparatus for controlling the migration of reaction by-product gases from a chemical vapor deposition (CVD) process chamber to a transfer vacuum chamber shared by other process chambers. Separate regulated flows of purge gas are provided to the CVD process chamber and the transfer vacuum chamber before establishing a pathway for substrate transfer. A pressure differential is created between the transfer vacuum chamber and the CVD process chamber that reduces or prevents the migration of CVD reaction by-product gases arising from the establishment of the substrate transfer pathway. While the pathway is established, a directional flow of purge gas is maintained from the transfer vacuum chamber into the CVD process chamber.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Joseph T. Hillman, John G. North, Steven P. Caliendo, John J. Hautala
  • Patent number: 7501155
    Abstract: In a method for coating a phosphor or a scintillator layer onto a flexible substrate, within a sealed zone maintained under vacuum conditions, by the step of vapor deposition, said phosphor or scintillator layer is, continuously or discontinuously, deposited onto said substrate, and said substrate is deformed at least before, during or after said step of vapor deposition, in order to provide the manufacturer, by a process of exceptionally high yield, with large deposited phosphor or a scintillator sheets having constant speed and image quality properties, further offering availability of all formats as desired for screens, plates or panels ready-for-use in a scanning apparatus in computed radiography, screen/film radiography and direct radiography.
    Type: Grant
    Filed: March 22, 2004
    Date of Patent: March 10, 2009
    Assignee: AGFA Healthcare
    Inventors: Johan Lamotte, Guido Verreyken, Paul Leblans
  • Publication number: 20090061087
    Abstract: A combinatorial processing chamber is provided. The combinatorial processing chamber is configured to isolate a radial portion of a rotatable substrate support, which in turn is configured to support a substrate. The chamber includes a plurality of clusters process heads in one embodiment. An insert having a base plate disposed between the substrate support and the process heads defines a confinement region for a deposition process in one embodiment. The base plate has an opening to enable access of the deposition material to the substrate. Through rotation of the substrate and movement of the opening, multiple regions of the substrate are accessible for performing combinatorial processing on a single substrate.
    Type: Application
    Filed: February 8, 2008
    Publication date: March 5, 2009
    Inventors: Rick Endo, Kurt Weiner, Indranil De, James Tsung, Maosheng Zhao, Jeremy Cheng
  • Publication number: 20090061088
    Abstract: A method is provided for producing a processing atmosphere for coating substrates, with this method primarily being used in CVD-processes for precipitating an individual layer or a system of individual layers under defined processing atmospheres, in which processing gas is supplied to a coating chamber in a defined manner and exhausted. Via the method and related devices, a variable processing atmosphere is adjustable inside the coating chamber in a flexible, reliable and homogenous manner, and requiring a reduced maintenance and energy expense, even when the substrate is heated. The processing gas is created by at least one gas channel extending perpendicular in reference to the substrate by way of supplying gas flow or exhausting, with a lateral extension being equivalent to the width of the substrate.
    Type: Application
    Filed: July 21, 2008
    Publication date: March 5, 2009
    Applicant: VON ARDENNE Anlagentechnik GmbH
    Inventors: Hubertus Von Der Waydbrink, Michael Hentschel, Marco Kenne, Andrej Wolf
  • Publication number: 20090056630
    Abstract: A workpiece support system for a vacuum coating machine includes a base table having a rotating spindle rod that extends in a vertical direction away from the base table and is capable of powered rotation. A stop post is connected to the table and extends in a vertical direction at a distance from the spindle rod. A workpiece support module is connected to the spindle rod and the stop post, and includes a rotating table with peripheral openings arranged symmetrically around its periphery, a plurality of cogs positioned in the openings, a stationary table connected to the stop post and axially supporting the rotating table, and a driver finger connected to the stationary table. At least one bearing is located around the spindle rod and rotatably isolates the rotating table from the stationary table.
    Type: Application
    Filed: August 27, 2007
    Publication date: March 5, 2009
    Applicant: CATERPILLAR INC.
    Inventors: Virgil R. Hester, Jeffrey P. Werner
  • Publication number: 20090017190
    Abstract: A system and method for uniform deposition of material layers on wafers in a rotating disk chemical vapor deposition reaction system is provided, wherein one or more substrates are rotated on a carrier about an axis while maintaining surfaces of the one or more substrates substantially perpendicular to the axis of rotation and facing in an upstream direction along the axis of rotation. During rotating a first gas is discharged in the downstream direction towards the one or more substrates from a first set of gas inlets. A second gas is discharged in the downstream direction towards the one or more substrates from at least one movable gas injector, and the at least one movable gas inlet is moved with a component of motion in a radial direction towards or away from the axis of rotation.
    Type: Application
    Filed: July 10, 2007
    Publication date: January 15, 2009
    Applicant: Veeco Instruments Inc.
    Inventors: Piero Sferlazzo, Alexander I. Gurary, Eric A. Armour, William E. Quinn, Steve Ting
  • Publication number: 20090017292
    Abstract: Sub-atmospheric pressure chemical vapor deposition is described with a directed reactant flow and a substrate that moves relative to the flow. Thus, using this CVD configuration a relatively high deposition rate can be achieved while obtaining desired levels of coating uniformity. Deposition approaches are described to place one or more inorganic layers onto a release layer, such as a porous, particulate release layer. In some embodiments, the release layer is formed from a dispersion of submicron particles that are coated onto a substrate. The processes described can be effective for the formation of silicon films that can be separated with the use of a release layer into a silicon foil. The silicon foils can be used for the formation of a range of semiconductor based devices, such as display circuits or solar cells.
    Type: Application
    Filed: June 12, 2008
    Publication date: January 15, 2009
    Inventors: Henry Hieslmair, Ronald J. Mosso, Narayan Solayappan, Shivkumar Chiruvolu, Julio E. Morris
  • Publication number: 20090017207
    Abstract: A process and composition for preventing cracking in composite structures comprising a metal coated substrate and a selenide, sulfide or mixed selenide sulfide film. Specifically, cracking is prevented in the coating of molybdenum coated substrates upon which a copper, indium-gallium diselenide (CIGS) film is deposited. Cracking is inhibited by adding a Se passivating amount of oxygen to the Mo and limiting the amount of Se deposited on the Mo coating.
    Type: Application
    Filed: October 21, 2005
    Publication date: January 15, 2009
    Applicant: UNIVERSITY OF DELAWARE
    Inventors: Erten Eser, Shannon Fields
  • Publication number: 20080311296
    Abstract: A device and a method for facilitating the deposition and patterning of organic materials onto substrates utilizing the vapor transport mechanisms of organic vapor phase deposition is provided. The device includes one or more nozzles, and an apparatus integrally connected to the one or more nozzles, wherein the apparatus includes one or more source cells, a carrier gas inlet, a carrier gas outlet, and a first valve capable of controlling the flow of a carrier gas through the one or more source cells. The method includes moving a substrate relative to an apparatus, and controlling the composition of the organic material and/or the rate of the organic material ejected by the one or more nozzles while moving the substrate relative to the apparatus, such that a patterned organic layer is deposited over the substrate.
    Type: Application
    Filed: July 18, 2008
    Publication date: December 18, 2008
    Applicant: The Trustees of Princeton University
    Inventors: Max Shtein, Stephen R. Forrest, Jay B. Benzinger
  • Patent number: 7438948
    Abstract: A method for forming a coated substrate is disclosed. The method comprises depositing an undercoating layer and depositing a functional coating comprising a material which can be present in more than one crystal structure over the undercoating layer, wherein there is a critical deposition thickness at which the functional coating transforms from a first polycrystalline film made up predominantly of the material having a first crystal structure to a second polycrystalline film made up predominantly of the same material having a second crystal structure.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: October 21, 2008
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Caroline S. Harris, Cory D. Steffek, Scott D. Walck
  • Publication number: 20080193643
    Abstract: Systems and methods for depositing thin films using Atomic Layer Deposition (ALD). The deposition system includes a process chamber with a peripheral sidewall, partitions that divide a processing space inside the process chamber into at least first and second compartments, and a platter that supports substrates within the processing space. The platter rotates the substrates relative to the stationary peripheral sidewall and compartments. The first compartment receives a process material used to deposit a layer on each of the substrates. An injector, which injects the process material, communicates with the first compartment through the peripheral sidewall.
    Type: Application
    Filed: February 12, 2007
    Publication date: August 14, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Anthony Dip
  • Patent number: 7410542
    Abstract: A roll to roll, film forming system overcoming the shortcomings of current roll to roll processing is presented; The roll to roll enabled cartridge is loaded with a bolt of fresh flexible substrate. A skate couples with a cartridge, forms a seal with the cartridge's bottom aperture and drives the cartridge along a rail to an array of deposition heads. A head mates with the cartridge top forming a pressure seal around the variable area deposition aperture. The mating of the skate, cartridge and head form a pressure vessel wherein a film forming environment may be maintained. As the substrate advances past the deposition aperture a layer is formed, potentially from bolt end to bolt end. Device growth continues from one head to another, as grown device encapsulation seal inclusive, aperture environmental seals applied, labeled cartridge is routed to dock, skate returns to system start.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: August 12, 2008
    Inventors: Paul Terrance Nolan, Armando Martin Arias del Cid
  • Patent number: 7407686
    Abstract: An optical film is disclosed having minimal curl, minimal coating unevenness and no cracks. The optical film is obtained by casting a dope comprising a cellulose ester and a non-chlorinated solvent on a metal support, the cellulose ester having a total acyl substitution degree of 2.6 to 2.85 and having a ratio of a weight-average molecular weight to a number-average molecular weight of 1:1 to 3:1; drying the cast dope on the metal support so as to obtain a cellulose ester film; peeling the cellulose ester film from the metal support; further drying the cellulose ester film while providing a longitudinal stretch or a lateral stretch to the cellulose ester film; and providing a metal oxide layer on the cellulose ester film.
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: August 5, 2008
    Assignee: Konica Corporation
    Inventor: Takashi Murakami
  • Patent number: 7404983
    Abstract: The present inventions pertain to a method of applying a solid protective coating to articles, to a system capable of depositing a solid film layer on articles, and to hermetically sealed articles. In particular, films are deposited on fused quartz substrates, optical fibers, and other items requiring a hermetic seal by a single or multiple beams laser-induced chemical vapor deposition [LCVD]. According to the present inventions, the protective layer can be deposited on the articles to be hermetically sealed in an open environment at atmospheric pressure and ambient temperature whereby the coating process may occur outside the confines of an enclosure. A coaxial precursor and non-reactive laminar gas jet configuration insulates the deposition area from oxygen and other aerial impurities.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: July 29, 2008
    Assignee: The United States of America, as represented by the Secretary of the Navy
    Inventors: Wilson K. S. Chiu, King Hong Kwok
  • Publication number: 20080175988
    Abstract: The present inventions pertain to a method of applying a solid protective coating to articles, to a system capable of depositing a solid film layer on articles, and to hermetically sealed articles. In particular, films are deposited on fused quartz substrates, optical fibers, and other items requiring a hermetic seal by a single or multiple beams laser-induced chemical vapor deposition [LCVD]. According to the present inventions, the protective layer can be deposited on the articles to be hermetically sealed in an open environment at atmospheric pressure and ambient temperature whereby the coating process may occur outside the confines of an enclosure. A coaxial precursor and non-reactive laminar gas jet configuration insulates the deposition area from oxygen and other aerial impurities.
    Type: Application
    Filed: August 4, 2004
    Publication date: July 24, 2008
    Inventors: Wilson K.S. Chiu, King Hong Kwok
  • Publication number: 20080149032
    Abstract: Disclosed are a lift pin, an apparatus for processing a substrate and a method of processing a substrate. The lift pin includes a rod portion and a head portion. The rod portion moves in a passage formed through a chuck having a substrate processed using a reaction gas. The head portion is provided on the rod portion to make contact with the substrate. The head portion may close the passage to prevent the reaction gas from flowing into the passage.
    Type: Application
    Filed: June 18, 2007
    Publication date: June 26, 2008
    Inventor: Soon-Bin Jung
  • Publication number: 20080103052
    Abstract: The inventive superconducting wire comprises a substrate and a continuous layer of atomically ordered superconducting material. The inventive wire has a length greater than 10 meters.
    Type: Application
    Filed: December 13, 2005
    Publication date: May 1, 2008
    Inventors: Alex Ignatiev, Xin Zhang, Jian Ming Zeng, JiaShu Liu, PenChu Chou, Louis D. Castellani
  • Publication number: 20080095936
    Abstract: An obstruct of this invention is to downsize a chamber, consequently a film forming system, to improve a film thickness distribution and to improve throughput of film forming by increasing the amount of the vaporized liquid precursor. The film forming system 1 is to form a film by vaporizing a liquid precursor and then depositing the vaporized liquid precursor on a substrate W, and comprises a chamber 2 inside of which the substrate W is held and multiple injection valves 3 that are arranged at different positions in the chamber 2 and that directly inject the identical liquid precursor in the chamber 2, vaporize the identical liquid precursor by flash boiling and then supply the vaporized liquid precursor.
    Type: Application
    Filed: June 29, 2007
    Publication date: April 24, 2008
    Inventors: Jiro Senda, Motohiro Oshima, Tetsuo Shimizu, Koji Tominaga, Koichiro Matsuda, Yutaka Yamagishi
  • Publication number: 20080032036
    Abstract: A manufacturing apparatus for a semiconductor includes a reaction chamber into which a wafer is introduced, gas supply unit for supplying a gas to the reaction chamber, gas exhaust unit for exhausting the gas from the reaction chamber, a holder for holding the wafer at an outer circumferential part of the wafer, a first heater for heating the wafer from below, a reflector provided above the holder, and a drive mechanism for driving the reflector.
    Type: Application
    Filed: July 26, 2007
    Publication date: February 7, 2008
    Applicant: NuFlare Technology, Inc.
    Inventors: Hideki ITO, Shinichi Mitani, Hironobu Hirata
  • Patent number: RE41694
    Abstract: Methods for roll-to-roll deposition of optically transparent and high conductivity metallic thin films are disclosed. In general, a method according to the present invention comprises: (1) providing a flexible plastic substrate; (2) depositing a multi-layered conductive metallic film on the flexible plastic substrate by a thin-film deposition technique to form a composite film; and (3) collecting the composite film in continuous rolls. Typically, the thin conductive metallic film is an InCeO—Ag—InCeO film. Typically, the thin-film deposition technique is DC magnetron sputtering. Another aspect of the invention is a composite film produced by a method according to the present invention.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: September 14, 2010
    Inventors: Xiao-Ming He, Ramin Heydarpour