Polyester Patents (Class 430/285.1)
  • Publication number: 20110086312
    Abstract: The present invention relates to a positive bottom photoimageable antireflective coating composition which is capable of being developed in an aqueous alkaline developer, wherein the antireflective coating composition comprises a polymer comprising at least one recurring unit with a chromophore group and one recurring unit with a hydroxyl and/or a carboxyl group, a vinyl ether terminated crosslinking agent of structure (7), and optionally, a photoacid generator and/or an acid and/or a thermal acid generator, where structure (7) is wherein W is selected from (C1-C30) linear, branched or cyclic alkyl moiety, substituted or unsubstituted (C3-C40) alicyclic hydrocarbon moiety and substituted is or unsubstituted (C3-C40) cycloalkylalkylene moiety; R is selected from C1-C10 linear or branched alkylene and n?2. The invention further relates to a process for using such a composition.
    Type: Application
    Filed: October 9, 2009
    Publication date: April 14, 2011
    Inventors: Ralph R. Dammel, Srinivasan Chakrapani, Munirathna Padmanaban, Shinji Miyazaki, Edward W. Ng, Takanori Kudo, Alberto D. Dioses, Francis M. Houlihan
  • Publication number: 20110081616
    Abstract: A photosensitive resin composition comprising a (A) binder polymer, a (B) photopolymerizing compound having ethylenic unsaturated bonds in the molecule, a (C) photopolymerization initiator and a (D) polymerization inhibitor, wherein the (C) photopolymerization initiator comprises an acridine compound, and the content of the (D) polymerization inhibitor is 20-100 ppm by weight.
    Type: Application
    Filed: April 24, 2009
    Publication date: April 7, 2011
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Yoshiki Ajioka, Mitsuru Ishi, Junichi Iso
  • Publication number: 20110076619
    Abstract: A first film-modifying method includes forming a second film on a first film that includes an acid-dissociable group. The second film is an acid transfer resin film that includes a photoacid generator. The second film is exposed via a mask so that the second film generates an acid. The acid generated by the second film is transferred to the first film.
    Type: Application
    Filed: December 8, 2010
    Publication date: March 31, 2011
    Applicant: JSR Corporation
    Inventor: Kouji NISHIKAWA
  • Publication number: 20110076620
    Abstract: To provide a dithiane derivative, having a structure expressed by the following general formula 1: where R1 is —H, or —CH3, a polymer containing a monomer unit containing the dithiane derivative, a resist composition containing the polymer, and a method for manufacturing a semiconductor device using the resist composition.
    Type: Application
    Filed: November 29, 2010
    Publication date: March 31, 2011
    Applicant: FUJITSU LIMITED
    Inventor: Koji Nozaki
  • Publication number: 20110076626
    Abstract: The invention relates to a photoimageable antireflective coating composition capable of forming a pattern by development in an aqueous alkaline solution, comprising, (i) a polymer A soluble in a coating solvent and comprises a chromophore, a crosslinking moiety, and optionally a cleavable group which under acid or thermal conditions produces a functionality which aids in the solubility of the polymer in an aqueous alkaline solution and; (ii) at least one photoacid generator; (iii) a crosslinking agent; (iv) optionally, a thermal acid generator; (v) a polymer B which is soluble in an aqueous alkaline solution prior to development, where polymer B is non-miscible with polymer A and soluble in the coating solvent, and; (vi) a coating solvent composition, and (vii) optionally, a quencher. The invention also relates to a process for imaging the antireflective coating.
    Type: Application
    Filed: September 30, 2009
    Publication date: March 31, 2011
    Inventors: Munirathna Padmanaban, Srinivasan Chakrapani, Francis M. Houlihan, Shinji Miyazaki, Edward Ng, Mark O. Neisser
  • Publication number: 20110070544
    Abstract: There is provided an acid having a fluorine-containing carbanion structure or a salt having a fluorine-containing carbanion structure, which is represented by the following general formula (1). By using a photoacid generator for chemically amplified resist materials that generates this acid, it is possible to provide a photoacid generator which has a high sensitivity to the ArF excimer laser light or the like, of which acid (photo generated acid) to be generated has a sufficiently high acidity, and which has a high dissolution in resist solvent and a superior compatibility with resin, and a resist material containing such a photoacid generator.
    Type: Application
    Filed: March 10, 2009
    Publication date: March 24, 2011
    Applicant: Central Glass Company,Ltd.
    Inventors: Masashi Nagamori, Satoru Narizuka, Susumu Inoue, Takashi Kume
  • Publication number: 20110059399
    Abstract: Positive-working imageable elements having improved sensitivity, high resolution, and solvent resistance are prepared using a water-insoluble polymeric binder comprising vinyl acetal recurring units that have pendant hydroxyaryl groups, and recurring units comprising carboxylic acid aryl ester groups that are substituted with a cyclic imide group. These imageable elements can be imaged and developed to provide various types of elements including lithographic printing plates.
    Type: Application
    Filed: September 8, 2009
    Publication date: March 10, 2011
    Inventors: Moshe Levanon, Georgy Bylina, Vladimir Kampel, Marina Rubin, Larisa Postel, Tanya Kurtser, Moshe Nakash
  • Publication number: 20110053083
    Abstract: Photoresist compositions include a blend of a phenolic polymer with a (meth)acrylate-based copolymer free of ether-containing and/or carboxylic acid-containing moieties. The (meth)acrylate copolymer includes a first monomer selected from the group consisting of an alkyl acrylate, a substituted alkyl acrylate, an alkyl (meth)acrylate, a substituted alkyl methacrylate and mixtures thereof, and a second monomer selected from the group consisting of an acrylate, a (meth)acrylate or a mixture thereof having an acid cleavable ester substituent; and a photoacid generator. Also disclosed are processes for generating a photoresist image on a substrate with the photoresist composition.
    Type: Application
    Filed: August 26, 2009
    Publication date: March 3, 2011
    Applicant: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip J. Brock, Richard A. DiPietro, Hoa D. Truong
  • Publication number: 20110053084
    Abstract: Disclosed are a photosensitive compound and a method of manufacturing the same. The photosensitive is composed of a naphthoquinonediazide sulfonic ester compound having at least one naphthoquinonediazide sulfoxy group, and having either at least one carboxy group with 1 to 8 carbon atoms or at least one alkoxy group with 1 to 8 carbon atoms, in one molecule.
    Type: Application
    Filed: December 30, 2009
    Publication date: March 3, 2011
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD
    Inventors: Joo Hyeon Park, Seok Chan Kang, Jung Hwan Cho, Kyung Chul Son
  • Publication number: 20110039208
    Abstract: The present invention provides a photoresist composition comprising a resin, an acid generator and a compound represented by the formula (C1): wherein Rc2 represents a C7-C20 aralkyl group which can have one or more substituents, and Rc1 represents a group represented by the formula (1): wherein Rc3 and Rc4 each independently represent a hydrogen atom or a linear, branched chain or cyclic C1-C12 aliphatic hydrocarbon group, Rc5 represents a C1-C30 divalent organic group, and Rc3 and Rc4 or Rc5 can be bonded each other to form a ring together with the nitrogen atom to which Rc3 and Rc4 or Rc5 are bonded.
    Type: Application
    Filed: August 6, 2010
    Publication date: February 17, 2011
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Mitsuhiro Hata, Tatsuro Masuyama
  • Publication number: 20110039209
    Abstract: The present invention provides a compound represented by the formula (C1): wherein Rc2 represents a C6-C10 aromatic hydrocarbon group having at least one nitro group and Rc1 represents a group represented by the formula (1): wherein Rc4 represents a hydrogen atom etc., Rc5 represents a C1-C30 divalent hydrocarbon group, and Rc3 represents a group represented by the formula (3-1), (3-2) or (3-3): wherein Rc6, Rc7, Rc8, Rc9, Rc10, Rc11, Rc12, Rc13 and Rc14 each independently represent a C1-C30 hydrocarbon group, and a photoresist composition comprising a resin, an acid generator and the compound represented by the formula (C1).
    Type: Application
    Filed: August 6, 2010
    Publication date: February 17, 2011
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Tatsuro Masuyama, Mitsuhiro Hata
  • Publication number: 20110039207
    Abstract: A resist composition that includes a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid, an acid generator component (B) that generates acid upon exposure, and an epoxy resin (G). Also, a method of forming a resist pattern that includes using the above resist composition to form a resist film on the substrate, conducting exposure of the resist film, and alkali-developing the resist film to form a resist pattern.
    Type: Application
    Filed: June 22, 2010
    Publication date: February 17, 2011
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Isao HIRANO, Toshiaki HATO
  • Publication number: 20110033804
    Abstract: The present invention provides a photoresist composition comprising a resin which comprises a structural unit derived from a compound having an acid-labile group and a structural unit derived from a compound represented by the formula (a): wherein R1 represents a hydrogen atom, a halogen atom, a C1-C6 alkyl group or a C1-C6 halogenated alkyl group, k represents an integer of 1 to 6, W1 represents a C6-C18 divalent aromatic hydrocarbon group which can have one or more substituents selected from the group consisting of a halogen atom, a hydroxyl group, a C1-C12 alkyl group, a C1-C12 alkoxy group, a C6-C14 aryl group, a C7-C15 aralkyl group, a glycidyloxy group and a C2-C4 acyl group, and R2 represents a hydrogen atom, a group represented by the formula (R2-1) or a group represented by the formula (R2-2), wherein R3, R4 and R5 independently each represent a C1-C12 hydrocarbon group, and R3 and R4 can be bonded each other to form a ring, R6 and R7 independently each represent a hydrogen atom or a
    Type: Application
    Filed: August 6, 2010
    Publication date: February 10, 2011
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Masahiko Shimada, Koji Ichikawa
  • Publication number: 20110033803
    Abstract: A pattern is formed by coating a first positive resist composition comprising a copolymer comprising lactone-containing recurring units, acid labile group-containing recurring units and carbamate-containing recurring units, and a photoacid generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for inactivation to acid, coating a second positive resist composition comprising a C3-C8 alcohol and an optional C6-C12 ether onto the first resist pattern-bearing substrate to form a second resist film, patternwise exposure, PEB, and development to form a second resist pattern.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 10, 2011
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Youichi Ohsawa, Masaki Ohashi
  • Patent number: 7883827
    Abstract: The present invention provides a planographic printing plate precursor including on a support a photosensitive layer that contains a polymerizable composition containing a specific binder polymer having a repeating unit of formula (I), an infrared absorbent, a polymerization initiator and a polymerizable compound, wherein R1 represents a hydrogen atom or a methyl group; R2 represents a linking group which includes two or more atoms selected from a carbon atom, a hydrogen atom, an oxygen atom, a nitrogen atom and a sulfur atom and has a number of atoms of 2 to 82; A represents an oxygen atom or —NR3— in which R3 represents a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms; and n represents an integer of 1 to 5. The invention also provides a planographic printing plate precursor provided with a specific photosensitive layer with respect to an alkaline developer.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: February 8, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Atsushi Sugasaki, Kazuto Kunita, Kazuhiro Fujimaki
  • Patent number: 7871556
    Abstract: A fully curable jettable composition having a viscosity less than 30 cps at a temperature within the range of 15° C.-180° C. comprising (i) at least one low viscosity reactive resin; (ii) at least one higher viscosity resin having a viscosity greater than twice that of the low viscosity resin and a functionality of greater than or equal to 2; (iii) at least one curable toughener, (iv) at least one initiator for the polymerization of the resins, and (v) at least one stabilizer for delaying the curing of the resins of the composition. The composition can be jetted from piezo electric printing heads under the control of a computer program to form a multi-layered article, for example, a three dimensional article, in which the adjacent droplets merge and are cured homogeneously together.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: January 18, 2011
    Assignee: Huntsman Advanced Materials Americas LLC
    Inventors: Ranjana C. Patel, Yong Zhao, Richard John Peace
  • Publication number: 20110003251
    Abstract: The present invention relates to a positive resist composition and to a pattern forming process using the same. The present invention provides: a positive resist composition having an enhanced etching resistance and an excellent resolution and being capable of providing an excellent pattern profile even at a substrate-side boundary face of resist, in photolithography for fine processing, and particularly in lithography adopting, as an exposure source, KrF laser, extreme ultraviolet rays, electron beam, X-rays, or the like; and a pattern forming process utilizing the positive resist composition.
    Type: Application
    Filed: May 24, 2010
    Publication date: January 6, 2011
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Akinobu TANAKA, Keiichi MASUNAGA, Daisuke DOMON, Satoshi WATANABE
  • Publication number: 20100323284
    Abstract: The present invention provides a pigment-dispersed composition including at least a polymer compound (A-1) having at least one selected from the structural units represented by the following formulae (1) to (3), a pigment (B) and a solvent (C), and a pigment-dispersed composition including at least a resin (D) having an acid number of 100 mg KOH/g or more, a dispersion resin (A-2) having an acid number of less than 100 mg KOH/g and an unsaturation equivalent of less than 600, a pigment (B), and a solvent (C) [R1 to R20: a hydrogen atom, a monovalent organic group; A1 to A3: an oxygen atom, a sulfur atom, —N(R21)—; G1 to G3: a divalent organic group; X, Z: an oxygen atom, a sulfur atom, —N(R22)—; Y: an oxygen atom, a sulfur atom, a phenylene group, —N(R23)—; and R21 to R23: an alkyl group].
    Type: Application
    Filed: December 27, 2007
    Publication date: December 23, 2010
    Applicant: Fujifilm Corporation
    Inventors: Taeko Nakashima, Kazuto Shimada, Shuichi Odagiri
  • Publication number: 20100323295
    Abstract: The present invention relates to a novel alkali-developable resin, a method of producing the alkali-developable resin, a photosensitive resin composition including the alkali-developable resin, and a device that is manufactured by using the photosensitive composition. In the case of when the alkali-developable resin is used as a component of the photosensitive composition, the photosensitivity, the developability and the film remaining rate of the pattern are improved.
    Type: Application
    Filed: February 11, 2008
    Publication date: December 23, 2010
    Inventors: Min-Young Lim, Han-Soo Kim, Yoon-Hee Heo, Ji-Heum Yoo, Sung-Hyun Kim
  • Publication number: 20100316850
    Abstract: Negative-working imageable elements have an imageable layer comprising a free radically polymerizable component, an initiator composition capable of generating radicals sufficient to initiate polymerization of the free radically polymerizable component upon exposure to imaging radiation, a radiation absorbing compound, one or more polymeric binders, and at least 5 weight % of core-shell particles comprising a hydrophobic polymeric core and a hydrophilic polymeric shell that is covalently bound to the polymeric core. The hydrophilic polymeric shell has one or more zwitterionic functional groups. These elements can be imaged such as by IR lasers to provide lithographic printing plates.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 16, 2010
    Inventors: Ting Tao, Eric Clark, John Kalamen
  • Publication number: 20100316955
    Abstract: A polymer comprising a high proportion of aromatic ring structure-containing units and containing an aromatic sulfonic acid sulfonium salt on a side chain is used to form a chemically amplified positive photoresist composition which is effective in forming a resist pattern having high etch resistance. The polymer overcomes the problems of dissolution in solvents for polymerization and purification and in resist solvents.
    Type: Application
    Filed: June 15, 2010
    Publication date: December 16, 2010
    Inventors: Keiichi Masunaga, Akinobu Tanaka, Daisuke Domon, Satoshi Watanabe, Youichi Ohsawa, Masaki Ohashi
  • Patent number: 7851124
    Abstract: A composition for forming a film for protecting wiring which in one aspect includes a polyimide precursor, a compound having at least two photopolymerizable groups, and a photopolymerization initiator, wherein the polyimide precursor includes a polyimide precursor obtained from a diamine component comprising a defined diamine compound. In other aspects, a dry film for forming a wiring-protecting film using the composition and a substrate having wiring protected by means of the dry film are provided.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: December 14, 2010
    Assignee: Mitsui Chemicals, Inc.
    Inventors: Katsuhiko Funaki, Shuji Tahara, Kazuhito Fujita, Takeshi Tsuda, Etsuo Ohkawado
  • Publication number: 20100304301
    Abstract: There is disclosed a negative resist composition comprising (A) a base polymer which is soluble in alkali and which is insolubilized in alkali by an action of an acid; and/or a combination of a crosslinking agent and a base polymer which is soluble in alkali and which is reacted with the crosslinking agent by an action of an acid to thereby be insolubilized in alkali, (B) an acid generator, and (C) a nitrogen-containing compound as a basic component; wherein the polymer to be used as the base polymer is: a polymer, which is obtained by polymerizing two or more kinds of monomers represented by the following general formula (1), or which is obtained by polymerizing a monomer mixture containing one or more kinds of monomers represented by the general formula (1) and one or more kinds of styrene monomers represented by the following general formula (2).
    Type: Application
    Filed: May 3, 2010
    Publication date: December 2, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Akinobu Tanaka, Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Publication number: 20100304302
    Abstract: A chemically amplified resist composition comprises a polymer comprising units having polarity to impart adhesion and acid labile units adapted to turn alkali soluble under the action of acid. The polymer comprises recurring units having formula (1) wherein R1 is H, F, CH3 or CF3, Rf is H, F, CF3 or CF2CF3, A is a divalent hydrocarbon group, R2, R3 and R4 are alkyl, alkenyl, oxoalkyl, aryl, aralkyl or aryloxoalkyl. Recurring units containing an aromatic ring structure are present in an amount ?60 mol % and the recurring units having formula (1) are present in an amount <5 mol %.
    Type: Application
    Filed: May 28, 2010
    Publication date: December 2, 2010
    Inventors: Keiichi MASUNAGA, Satoshi Watanabe, Akinobu Tanaka, Daisuke Domon
  • Publication number: 20100297558
    Abstract: The invention relates to photopolymerizable flexographic printing elements which contain ethylenically unsaturated, alicyclic monomers and hard flexographic printing plates, in particular cylindrical continuous seamless flexographic printing plates, which can be produced therefrom.
    Type: Application
    Filed: February 8, 2008
    Publication date: November 25, 2010
    Applicant: Flint Group Germany GmbH
    Inventors: Armin Becker, Uwe Stebani, Berthold Geisen, Uwe Krauss, Thomas Telser
  • Publication number: 20100295190
    Abstract: A photosensitive adhesive composition comprising (A) an alkali-soluble polymer, (B) a thermosetting resin, (C) one or more radiation-polymerizable compounds and (D) a photoinitiator, wherein the 5% weight reduction temperature of the mixture of all of the radiation-polymerizable compounds in the composition is 200° C. or higher.
    Type: Application
    Filed: April 30, 2008
    Publication date: November 25, 2010
    Inventors: Kazuyuki Mitsukura, Takashi Kawamori, Takashi Masuko, Shigeki Katogi
  • Publication number: 20100297559
    Abstract: [Purpose] To provide a photosensitive resin composition having satisfactory compatibility during dry film formation, exhibiting similar sensitivity for exposure with both i-line radiation and h-line radiation type exposure devices, having excellent resolution and adhesiveness, allowing development with aqueous alkali solutions, and preferably, having no generation of aggregates during development. [Solution Means] A photosensitive resin composition comprising (a) 20-90 wt % of a thermoplastic copolymer having a specific copolymerizing component copolymerized, and having a carboxyl group content of 100-600 acid equivalents and a weight-average molecular weight of 5,000-500,000, (b) 5-75 wt % of an addition polymerizable monomer having at least one terminal ethylenic unsaturated group, (c) 0.01-30 wt % of a photopolymerization initiator containing a triarylimidazolyl dimer, and (d) 0.001-10 wt % of a pyrazoline compound.
    Type: Application
    Filed: March 19, 2009
    Publication date: November 25, 2010
    Inventor: Yosuke Hata
  • Publication number: 20100291484
    Abstract: There is disclosed a negative resist composition comprising at least (A) a base resin that is alkaline-soluble and is made alkaline-insoluble by action of an acid, and/or a combination of a base resin that is alkaline-soluble and is made alkaline-insoluble by reaction with a crosslinker by action of an acid, with a crosslinker, (B) an acid generator, and (C) a compound containing a nitrogen as a basic component, and forming a resist film having the film thickness X (nm) of 50 to 100 nm, wherein, in the case that the resist film is formed from the negative resist composition under the film-forming conditions for the pattern formation, a dissolution rate of the resist film into the alkaline developer used in the development treatment for the pattern formation is 0.0333X?1.0 (nm/second) or more and 0.0667X?1.6 (nm/second) or less.
    Type: Application
    Filed: April 16, 2010
    Publication date: November 18, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Akinobu Tanaka, Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Patent number: 7820361
    Abstract: A lithographic printing plate precursor includes: a support; and a photosensitive layer containing (A) an initiator compound, (B) a polymerizable compound and (C) a binder, wherein the photosensitive layer or other layer in contact with the support contains as (D) a component different from the component (C), a copolymer containing (a1) a repeating unit having at least one ethylenically unsaturated bond introduced through an ion pair and (a2) a repeating unit having at least one functional group capable of interacting with a surface of the support.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: October 26, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Tomoya Sasaki, Hidekazu Oohashi
  • Publication number: 20100266954
    Abstract: An adamantane derivative capable of affording a cured product which is excellent in optical characteristics such as transparency and light resistance, durability such as long-term heat resistance, and electrical characteristics such as dielectric constant, a process for producing such an adamantane derivative, and a curable composition containing such an adamantane derivative, the adamantane derivative being represented by the general formula (I) shown below and having a group selected from an acrylate group, a methacrylate group and a trifluoromethacrylate group, where R1 represents a group selected from a hydroxyl group, an acrylate group, a methacrylate group and a trifluoromethacrylate group, R2 represents a group selected from a hydrogen atom, a methyl group and a trifluoromethyl group, k is an integer of 0 to 4 and n is an integer of 1 to 6.
    Type: Application
    Filed: November 10, 2008
    Publication date: October 21, 2010
    Applicant: Idemitsu Kosan Co., Ltd.
    Inventors: Katsuki Ito, Shinji Tanaka, Naoya Kawano, Hideki Yamane, Hidetoshi Ono
  • Publication number: 20100266956
    Abstract: A positive-type photosensitive composition including an alkali-soluble polymer formed through copolymerization of monomer (A) represented by the following General Formula (I) and other radical polymerizable monomer (B), a 1,2-quinonediazide compound, and a nanowire structure: where R1 represents a hydrogen atom or a methyl group, R2 represents a hydrogen atom or a C1 to C5 alkyl group, n is an integer of 1 to 5, and m is an integer of 1 to 7.
    Type: Application
    Filed: April 15, 2010
    Publication date: October 21, 2010
    Applicant: FUJIFILM Corporation
    Inventors: Kenji NAOI, Yoichi Hosoya, Nori Miyagishima
  • Publication number: 20100266955
    Abstract: A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the component (A) including a polymeric compound (A1) having a structural unit (a0) represented by general formula (a0-1) (wherein R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; R1 represents an acid dissociable, dissolution inhibiting group; and R2 represents a divalent hydrocarbon group), and the acid generator (B) including an acid generator (B1) having an anion moiety represented by general formula (I) (wherein X represents a hydrocarbon group of 3 to 30 carbon atoms; Q1 represents a divalent linking group containing an oxygen atom; and Y1 represents an alkylene group of 1 to 4 carbon atoms or a fluorinated alkylene group of 1 to 4 carbon atoms).
    Type: Application
    Filed: April 12, 2010
    Publication date: October 21, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yoshiyuki UTSUMI, Makiko IRIE
  • Publication number: 20100266957
    Abstract: An additive polymer comprising recurring units of formula (1) is added to a resist composition comprising a base resin, a photoacid generator, and an organic solvent. R1 is hydrogen or methyl, R2 is alkylene or fluoroalkylene, and R3 is fluoroalkyl. The additive polymer is highly transparent to radiation with wavelength of up to 200 nm. Water repellency, water slip, acid lability, hydrolysis and other properties of the polymer may be adjusted by a choice of polymer structure.
    Type: Application
    Filed: April 15, 2010
    Publication date: October 21, 2010
    Inventors: Yuji HARADA, Jun Hatakeyama, Koji Hasegawa, Tomohiro Kobayashi
  • Publication number: 20100261117
    Abstract: A positive photosensitive composition comprises: (A) 5 to 20 parts by weight of the total amount of at least one compound that generates an acid upon irradiation with an actinic ray; and (B) 100 parts by weight of the total amount of at least one fluorine atom-containing resin having a group that increases a solubility of the resin in an alkaline developer by the action of an acid.
    Type: Application
    Filed: June 21, 2010
    Publication date: October 14, 2010
    Applicant: FUJIFILM Corporation
    Inventor: Kunihiko KODAMA
  • Patent number: 7811742
    Abstract: A lithographic printing plate precursor includes: a support; and a photosensitive layer containing a binder polymer containing a positively charged nitrogen atom in at least one of a main chain and a side chain of the binder polymer, a compound containing an ethylenically unsubstituted bond; and a radical polymerization initiator.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: October 12, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Yoshinori Taguchi, Keiichi Adachi, Shigekatsu Fujii
  • Publication number: 20100255420
    Abstract: A radiation-sensitive resin composition includes a polymer, an acid-labile group-containing resin, a radiation-sensitive acid generator, and a solvent, the polymer including repeating units shown by following general formulas (1) and (2). wherein R1 and R2 represent a hydrogen atom, a methyl group, or a trifluoromethyl group, R3 represents a linear or branched alkyl group having 1 to 6 carbon atoms or an alicyclic hydrocarbon group having 4 to 20 carbon atoms in which at least one hydrogen atom is substituted with a fluorine atom, or a derivative thereof, and Z represents a group that includes a group that generates an acid upon exposure to light. The radiation-sensitive resin composition produces an excellent pattern shape, reduces the amount of elution into an immersion liquid upon contact during liquid immersion lithography, ensures that a high receding contact angle is formed by a resist film and an immersion liquid, and rarely causes development defects.
    Type: Application
    Filed: October 21, 2008
    Publication date: October 7, 2010
    Applicant: JSR CORPORATION
    Inventors: Hirokazu Sakakibara, Makoto Shimizu, Takehiko Naruoka, Yoshifumi Ooizumi, Kentarou Harada, Takuma Ebata
  • Publication number: 20100248143
    Abstract: Provided is an actinic ray-sensitive or radiation-sensitive resin composition, a resist film formed with the composition, and a pattern-forming method using the same. The actinic ray-sensitive or radiation-sensitive resin composition includes (P) a resin that contains the following repeating units (A), (B) and (C); and a solvent having a boiling temperature of 150° C. or less, (A) a repeating unit containing a group capable of decomposing and forming an acid upon irradiation with an actinic ray or radiation, (B) a repeating unit containing a group capable of decomposing and forming a carboxylic acid by the action of an acid, and (C) a repeating unit containing a carbon-carbon unsaturated bond.
    Type: Application
    Filed: March 29, 2010
    Publication date: September 30, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Takayuki ITO, Hidenori TAKAHASHI, Tomotaka TSUCHIMURA, Shohei KATAOKA, Takeshi INASAKI
  • Publication number: 20100248097
    Abstract: Negative-working imageable elements have a hydrophilic substrate and a single thermally-sensitive imageable layer. This layer can include an infrared radiation absorbing compound and polymeric particles that coalesce upon thermal imaging. These coalesceable polymeric particles comprise a thermoplastic polymer and a colorant to provide improved visible contrast between exposed and non-exposed regions in the imaged element, such as lithographic printing plates.
    Type: Application
    Filed: March 27, 2009
    Publication date: September 30, 2010
    Inventors: Mathias Jarek, Domenico Balbinot
  • Publication number: 20100248144
    Abstract: The positive resist composition including a base material component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid generator component (B) which generates acid upon exposure, the positive resist composition characterized in that in those cases where a resist film is formed on a substrate using the positive resist composition and is then subjected to a selective exposure and developing to form a hole pattern, followed by a bake treatment, a bake treatment temperature (Tf), at which the size of the hole is reduced by 10%, as compared to the size of the hole before the bake treatment, is at least 100° C.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takeshi IWAI, Jun IWASHITA, Daichi TAKAKI
  • Patent number: 7799504
    Abstract: A mask-forming film has a transparent layer between the imageable layer and the carrier sheet, which transparent layer has a refractive index that is lower (by at least 0.04) than that of the carrier sheet or any immediately adjacent layer between it and the carrier sheet. This lower refractive index layer modifies the path of incident radiation during mask image transfer so as to provide steeper shoulder angles in the relief image solid areas.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: September 21, 2010
    Assignee: Eastman Kodak Company
    Inventors: Gregory L. Zwadlo, David E. Brown, Elsie A. Fohrenkamm, A. Peter Stolt
  • Publication number: 20100233622
    Abstract: Disclosed is a method for manufacturing fine patterns of semiconductor devices using a double exposure patterning process for manufacturing the second photoresist patterns by simply exposing without an exposure mask. The method comprises the steps of: forming a first photoresist pattern on a semiconductor substrate on which a layer to be etched is formed; coating a composition for a mirror interlayer on the first photoresist pattern to form a mirror interlayer; forming a photoresist layer on the resultant; and forming a second photoresist pattern which is made by a scattered reflection of the mirror-interlayer and positioned between the first photoresist patterns, by exposing the photoresist layer to a light having energy which is lower than a threshold energy (Eth) of the photoresist layer without an exposure mask, and then developing the same.
    Type: Application
    Filed: November 13, 2009
    Publication date: September 16, 2010
    Applicant: Dongjin Semichem Co., Ltd.
    Inventors: Jun-Gyeong Lee, Jung-Youl Lee, Jeong-Sik Kim, Eu-Jean Jang, Jae-Woo Lee, Deog-Bae Kim, Jae-Hyun Kim
  • Publication number: 20100233626
    Abstract: A positive resist composition including a polymeric compound (A1) having a structural unit (a0) represented by general formula (a0-1) (R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms, R2 represents a divalent linking group, R3 represents a cyclic group containing —SO2— within the ring skeleton thereof) and a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group, an acid-generator component (B) and a fluorine-containing polymeric compound (F1) having a structural unit containing a base dissociable group.
    Type: Application
    Filed: March 10, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Hiroaki Shimizu, Hideto Nito, Junichi Tsuchiya, Takahiro Dazai
  • Publication number: 20100233624
    Abstract: A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the component (A) including a polymeric compound (A1) having an acid dissociable, dissolution inhibiting group in the structure thereof and including a structural unit (a0) having an —SO2-containing cyclic group on the terminal of the side chain, and the component (B) including an acid generator (B1) containing a compound represented by general formula (b1-1) (R0 represents a hydrocarbon group of 1 to 12 carbon atoms which may have a substituent, provided that the carbon atom adjacent to the sulfur atom within the —SO3? group has no fluorine atom bonded thereto, and Z+ represents an organic cation).
    Type: Application
    Filed: March 4, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yasuhiko Kakinoya, Naoto Motoike
  • Publication number: 20100233625
    Abstract: A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under the action of acid, and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) having an aromatic group, a structural unit (a5) represented by general formula (a5-1) shown below, and a structural unit (a1) containing an acid-dissociable, dissolution-inhibiting group. In the formula (a5-1), R1 represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms, R2 represents a divalent linking group, and R3 represents a cyclic group containing —SO2— within the ring skeleton thereof.
    Type: Application
    Filed: March 10, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tomoyuki Hirano, Tasuku Matsumiya, Daiju Shiono, Takahiro Dazai
  • Publication number: 20100233623
    Abstract: A positive resist composition including: a polymeric compound (A1) having a structural unit (a0) that contains a “cyclic group containing —SO2—” on the side chain terminal, and a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group; an acid generator component (B); and a fluorine-containing resin component having a structural unit (f1) represented by general formula (f1-0): wherein R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; Q0 represents a single bond or a divalent linking group having a fluorine atom; and RX0 represents an acid dissociable, dissolution inhibiting group-containing group which may contain a fluorine atom, with the provision that at least one fluorine atom is contained in formula (f1-0).
    Type: Application
    Filed: March 4, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tsuyoshi Kurosawa, Hiroaki Shimizu
  • Publication number: 20100227274
    Abstract: A positive resist composition comprising as a base resin a polymer having carboxyl groups whose hydrogen is substituted by an acid labile group of fluorene structure exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal LER after exposure, a significant effect of suppressing acid diffusion rate, and improved etching resistance.
    Type: Application
    Filed: March 9, 2010
    Publication date: September 9, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa, Seiichiro Tachibana
  • Publication number: 20100216073
    Abstract: Disclosed is a photosensitive resin composition suitable for use in a transflective liquid crystal display (LCD). The photosensitive resin composition uses, as an alkali-soluble binder resin, a blend of two kinds of binder resins. The first binder resin has a weight average molecular weight greater than or equal to 1,000 but lower than 20,000 and contains no reactive group. The second binder resin has a weight average molecular weight greater than or equal to 20,000 but lower than 80,000 and contains reactive groups. The photosensitive resin composition has good adhesion to an underlying substrate while forming a high resolution fine pattern.
    Type: Application
    Filed: February 26, 2010
    Publication date: August 26, 2010
    Applicant: LG CHEM, LTD.
    Inventors: Han Kook KIM, Sung Hyun KIM, Jae Joon KIM, Bog Ki HONG, Mi Ae KIM, Seung Jin YANG, Sang Moon YOO, Sun Hwa KIM, Won Jin CHUNG
  • Publication number: 20100216072
    Abstract: A positive photosensitive composition ensuring wide exposure latitude and reduced line edge roughness not only in normal exposure (dry exposure) but also in immersion exposure, a pattern forming method using the positive photosensitive composition, and a novel resin contained in the positive photosensitive composition are provided, which are a positive photosensitive composition comprising (A) a resin having a specific lactone structure in the side chain and being capable of increasing the solubility in an alkali developer by the action of an acid and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, a pattern forming method using the positive photosensitive composition, and a novel resin contained in the positive photosensitive composition.
    Type: Application
    Filed: September 11, 2008
    Publication date: August 26, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Yuko Tada, Kazuto Shimada, Shuji Hirano
  • Publication number: 20100216071
    Abstract: Polymers comprising a first methacrylate monomer having a pendent spacer between the polymer backbone and an acid-liable acetal group, a second methacrylate monomer having a pendent group including a fluorinated alkyl group and a third methacrylate monomer having a pendent hydrocarbon group. Photoresist formulations include the polymers, a photoacid generator and a casting solvent. Methods of patterning photoresist films formed from the photoresist formulations are characterized by post-exposure bakes at temperatures of about 60° C. or less.
    Type: Application
    Filed: February 23, 2009
    Publication date: August 26, 2010
    Inventors: Dario Leonardo Goldfarb, Mahmoud Khojasteh, Pushkara R. Varanasi
  • Publication number: 20100209849
    Abstract: A patterning process includes (1) coating and baking a first positive resist composition to form a first resist film, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) applying a resist-modifying composition to the first resist pattern and heating to modify the first resist pattern, (3) coating and baking a second positive resist composition to form a second resist film, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The modified first resist film has a contact angle with pure water of 50°-85°.
    Type: Application
    Filed: February 18, 2010
    Publication date: August 19, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takeru Watanabe, Masashi Iio, Jun Hatakeyama, Tsunehiro Nishi, Yoshio Kawai