Polyester Patents (Class 430/285.1)
  • Publication number: 20120156621
    Abstract: A radiation-sensitive resin composition includes a resin including a repeating unit shown by a following general formula (1), a photoacid generator and a photodisintegrating base shown by a following general formula (8). R2 represents a hydrogen atom or a methyl group, and each of R2s individually represents a monovalent alicyclic hydrocarbon group having 4 to 20 carbon atoms or a derivative thereof, a linear or branched alkyl group having 1 to 4 carbon atoms, or the like. Each of R18 to R20 individually represents a hydrogen atom, an alkyl group, an alkoxy group, a hydroxyl group or a halogen atom, and Z? represents OH?, R—COO?, R—SO3? or an anion shown by a following formula (10), wherein R represents an alkyl group, an aryl group or an alkaryl group.
    Type: Application
    Filed: February 23, 2012
    Publication date: June 21, 2012
    Applicant: JSR Corporation
    Inventors: Atsushi NAKAMURA, Tsutomu Shimokawa, Junichi Takahashi, Takayoshi Abe, Tomoki Nagai, Tomohiro Kakizawa
  • Publication number: 20120156618
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a resin (P) containing not only at least one repeating unit (A) that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid and is expressed by any of general formulae (I) to (III) below but also a repeating unit (B) containing at least an aromatic ring group provided that the repeating unit (B) does not include any of those of general formulae (I) to (III). (The characters used in general formulae (I) to (III) have the meanings mentioned in the description.
    Type: Application
    Filed: August 31, 2010
    Publication date: June 21, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Hidenori Takahashi, Shuji Hirano, Takayuki Ito, Hideaki Tsubaki
  • Publication number: 20120156619
    Abstract: The present invention relates to an acrylate-based compound that includes an organic acid having two or more acrylate groups and one or more phenolic acid structures in one molecule, and a photosensitive composition including the same. It is possible to shorten a developing time in a photolithography process without damaging photosensitivity by using the photosensitive composition according to the present invention.
    Type: Application
    Filed: November 18, 2011
    Publication date: June 21, 2012
    Applicant: LG CHEM, LTD.
    Inventors: Keon Woo LEE, Sang Kyu KWAK, Changsoon LEE, Hyehyeon KIM
  • Publication number: 20120145972
    Abstract: Provided are a photosensitive resin composition for a color filter and a color filter using the same. The photosensitive resin composition for a color filter may include (A) a copolymer including a structural unit represented by the following Chemical Formula 1; (B) an acrylic-based photopolymerizable monomer; (C) a photopolymerization initiator; (D) a pigment; and (E) a solvent: In Chemical Formula 1, each substituent is the same as defined in the detailed description.
    Type: Application
    Filed: July 26, 2011
    Publication date: June 14, 2012
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Chang-Min LEE, Jun-Seok KIM, Kil-Sung LEE
  • Publication number: 20120148957
    Abstract: A pattern forming method includes: (i) forming a film from a chemical amplification resist composition; (ii) exposing the film, so as to form an exposed film; and (iii) developing the exposed film by using an organic solvent-containing developer, wherein the chemical amplification resist composition contains: (A) a resin substantially insoluble in alkali; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a crosslinking agent; and (D) a solvent, a negative chemical amplification resist composition used in the method, and a resist film formed from the negative chemical amplification resist composition.
    Type: Application
    Filed: October 5, 2010
    Publication date: June 14, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Yuichiro Enomoto, Sou Kamimura, Shinji Tarutani, Keita Kato, Kaoru Iwato
  • Publication number: 20120145971
    Abstract: Disclosed is a photosensitive resin composition that includes (A) a cardo-based monomer represented by the following Chemical Formula 1 or 2, (B) a cardo-based resin, (C) a reactive unsaturated compound, (D) a pigment, (E) an initiator, and (F) a solvent, and a light blocking layer using the same. In Chemical Formulae 1 and 2, each substituent is the same in the detailed description.
    Type: Application
    Filed: September 23, 2011
    Publication date: June 14, 2012
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Chang-Min LEE, Jun-Seok KIM, Kil-Sung LEE, Min-Sung KIM
  • Publication number: 20120148956
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, a nitrogen-containing organic compound (C) containing a compound (C1) represented by general formula (c1) shown below and an acid-generator component (B) which generates acid upon exposure (excluding the compound (C1)) (R1 represents an alicyclic group of 5 or more carbon atoms which may have a substituent; X represents a divalent linking group; Y represents a linear, branched or cyclic alkylene group or an arylene group; Rf represents a hydrocarbon group containing a fluorine atom; and M+ represents an organic cation).
    Type: Application
    Filed: December 7, 2011
    Publication date: June 14, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yoshiyuki UTSUMI, Masaru TAKESHITA, Hiroaki SHIMIZU, Syo ABE, Yoshitaka Komuro
  • Publication number: 20120148955
    Abstract: A resist composition including: a base component (A) which exhibits changed solubility in a developing solution under action of acid; a nitrogen-containing organic compound component (C) containing a compound (C1) represented by general formula (c1) shown below; and an acid generator component (B) which generates acid upon exposure, provided that the compound (C1) is excluded from the acid generator component (B): wherein RN represents a nitrogen-containing heterocyclic group which may have a substituent; X0 represents a linear or branched divalent aliphatic hydrocarbon group of 1 to 10 carbon atoms, a cyclic divalent aliphatic hydrocarbon group of 3 to 20 carbon atoms or a divalent aliphatic hydrocarbon group of 3 to 20 carbon having a cyclic partial structure, or any one of these groups in which some or all of the hydrogen atoms thereof have been substituted with fluorine atoms; and M+ represents an organic cation.
    Type: Application
    Filed: December 6, 2011
    Publication date: June 14, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yoshiyuki Utsumi, Kenichiro Miyashita, Akiya Kawaue
  • Publication number: 20120141939
    Abstract: A photoacid generator compound has formula (I): G+Z???(I) wherein G has formula (II): In formula (II), X is S or I, each R0 is commonly attached to X and is independently C1-30 alkyl; polycyclic or monocyclic C3-30 cycloalkyl; polycyclic or monocyclic C6-30 aryl; or a combination comprising at least one of the foregoing groups. G has a molecular weight greater than 263.4 g/mol, or less than 263.4 g/mol. One or more R0 groups are further attached to an adjacent R0 group, a is 2 or 3, wherein when X is I, a is 2, or when X is S, a is 2 or 3. Z in formula (I) comprises the anion of a sulfonic acid, a sulfonimide, or a sulfonamide. A photoresist and coated film also includes the photoacid generator, and a method of forming an electronic device uses the photoresist.
    Type: Application
    Filed: November 30, 2011
    Publication date: June 7, 2012
    Inventors: James W. Thackeray, Suzanne M. Coley, James F. Cameron, Paul J. LaBeaume, Ahmad E. Madkour, Owendi Ongayi, Vipul Jain
  • Publication number: 20120141940
    Abstract: A chemically amplified positive-type photoresist composition for a thick film capable of forming a thick film resist pattern having superior resolving ability and controllability of dimensions, and being favorable in rectangularity, as well as a method for producing a thick film resist pattern using such a composition. The photoresist composition comprises an acid generator including a cationic moiety and an anionic moiety, and a resin whose alkali solubility increases by the action of an acid.
    Type: Application
    Filed: November 30, 2011
    Publication date: June 7, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takahiro Shimizu, Yasushi Washio, Tomoyuki Ando, Jun Koshiyama
  • Publication number: 20120135349
    Abstract: A polymer obtained from copolymerization of a recurring unit having a carboxyl group and/or phenolic hydroxyl group substituted with an acid labile group with a methacrylate having a phenolic hydroxyl-bearing pyridine is useful as a base resin in a positive resist composition. The resist composition comprising the polymer is improved in contrast of alkali dissolution rate before and after exposure, acid diffusion control, resolution, and profile and edge roughness of a pattern after exposure.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 31, 2012
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20120135351
    Abstract: The present invention provides a salt represented by the formula (I): wherein R1 and R2 independently each represent a C1-C6 alkyl group or R1 and R2 are bonded each other to form a C5-C20 aliphatic ring together with the carbon atom to which they are bonded, R3 and R4 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, X1 represents a C1-C17 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO— and which may be substituted with one or more fluorine atoms, and Z1+ represents an organic counter ion.
    Type: Application
    Filed: November 25, 2011
    Publication date: May 31, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Yukako ANRYU, Shingo FUJITA
  • Publication number: 20120135350
    Abstract: A positive resist composition comprising (A) a polymer comprising recurring units of a specific structure adapted to generate an acid in response to high-energy radiation and acid labile units, the polymer having an alkali solubility that increases under the action of an acid, and (B) a sulfonium salt of a specific structure exhibits a high resolution in forming fine size patterns, typically trench patterns and hole patterns. Lithographic properties of profile, DOF and roughness are improved.
    Type: Application
    Filed: November 23, 2011
    Publication date: May 31, 2012
    Inventors: Tomohiro Kobayashi, Eiji Fukuda, Takayuki Nagasawa, Ryosuke Taniguchi, Youichi Ohsawa, Masayoshi Sagehashi, Yoshio Kawai
  • Publication number: 20120129104
    Abstract: New lactone-containing photoacid generator compounds (“PAGs”) and photoresist compositions that comprise such PAG compounds are provided.
    Type: Application
    Filed: November 15, 2011
    Publication date: May 24, 2012
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Emad AQAD, Mingqi Li, Cheng-Bai Xu, Cong Liu
  • Publication number: 20120129273
    Abstract: Methods for the fabrication of nanostructures, including nanostructures comprised of carbon nanotubes, and the nanostructures, devices, and assemblies prepared by these methods, are described.
    Type: Application
    Filed: May 7, 2010
    Publication date: May 24, 2012
    Applicant: The Trustees of the University of Pennsylvania
    Inventors: Alan T. Johnson, JR., Ryan A. Jones, Samuel M. Khamis
  • Publication number: 20120129102
    Abstract: A material for a planarization film, a spacer, and a microlens that satisfies heat resistance and transparency requirements without impairing a refractive index. A negative photosensitive composition includes a photopolymerizable polymer (A) having a fluorene skeleton, a monomer (B) having a fluorene skeleton and a photopolymerization initiator (C). The photopolymerizable polymer (A) having a fluorene skeleton may include a moiety that is soluble in an alkaline developer solution, or a unit structure of Formula (1): herein each R1 is independently a C1-10 alkyl group or a halogen atom; each L is an integer of 0 to 4; X is an organic group having an unsaturated bond at a terminal thereof; and Y is a linking group including a portion that is obtained by removing an acid radical from a tetracarboxylic dianhydride.
    Type: Application
    Filed: April 20, 2010
    Publication date: May 24, 2012
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Hiroyuki Soda, Takahiro Sakaruchi, Shojiro Yuwaka
  • Publication number: 20120129103
    Abstract: A sulfonium salt having a 4-fluorophenyl group is introduced as recurring units into a polymer comprising hydroxyphenyl (meth)acrylate units and acid labile group-containing (meth)acrylate units to form a polymer which is useful as a base resin in a resist composition. The resist composition has a high sensitivity, high resolution and minimized LER.
    Type: Application
    Filed: November 9, 2011
    Publication date: May 24, 2012
    Inventors: Youichi Ohsawa, Masaki Ohashi, Seiichiro Tachibana, Jun Hatakeyama
  • Publication number: 20120129101
    Abstract: Polymers and compositions for forming self-imageable films encompassing such polymers that encompass norbornene-type repeating unit having at least one phenolic functionality and maleic anhydride-type repeating unit, which can be formulated to be either positive tone imaging or negative tone imaging. The films formed thereby are useful as self-imageable layers in the manufacture of microelectronic, such as semiconductor, and optoelectronic devices.
    Type: Application
    Filed: November 23, 2011
    Publication date: May 24, 2012
    Applicants: Promerus LLC, Sumitomo Bakelite Co., Ltd.
    Inventors: Osamu Onishi, Haruo Ikeda, Larry F. Rhodes, Pramod Kandanarachchi
  • Publication number: 20120129105
    Abstract: A copolymer has formula: wherein R1-R5 are independently H, C1-6 alkyl, or C4-6 aryl, R6 is a fluorinated or non-fluorinated C5-30 acid decomposable group; each Ar is a monocyclic, polycyclic, or fused polycyclic C6-20 aryl group; each R7 and R8 is —OR11 or —C(CF3)2OR11 where each R11 is H, a fluorinated or non-fluorinated C5-30 acid decomposable group, or a combination; each R9 is independently F, a C1-10 alkyl, C1-10 fluoroalkyl, C1-10 alkoxy, or a C1-10 fluoroalkoxy group; R10 is a cation-bound C10-40 photoacid generator-containing group, mole fractions a, b, and d are 0 to 0.80, c is 0.01 to 0.80, e is 0 to 0.50 provided where a, b, and d are 0, e is greater than 0, the sum a+b+c+d+e is 1, l and m are integers of 1 to 4, and n is an integer of 0 to 5. A photoresist and coated substrate, each include the copolymer.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 24, 2012
    Applicant: ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventors: James W. Thackeray, Emad Aqad, Su Jin Kang, Owendi Ongayi
  • Patent number: 8182979
    Abstract: A photopolymerization initiator is provided. The photopolymerization initiator contains at least one unsaturated double bond and at least one oxime ester group in the molecule. The photopolymerization initiator comprises a compound represented by Formula 1 or 2: wherein R1 and R2 are each independently —CH3, —C2H5, —C3H7 or —C6H5; wherein R3, R4 and R5 are each independently —CH3, —C2H5, —C3H7 or —C6H5. Further provided is a photosensitive resin composition comprising the photopolymerization initiator. The use of the photosensitive resin composition in photolithography reduces the formation of volatile residue during post-development baking.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: May 22, 2012
    Assignee: LG Chem, Ltd.
    Inventors: Keon Woo Lee, Raisa Kharbash, Chang Ho Cho, Sung Hyun Kim, Sang Kyu Kwak, Dong Kung Oh, Chang Soon Lee
  • Publication number: 20120122034
    Abstract: The present invention provides a resin comprising a structural unit represented by the formula (aa): wherein T1 represents a C4-C34 sultone ring group optionally having one or more substituents, X2 represents —O— or —N(Rc)—, Rc represents a hydrogen atom or a C1-C6 alkyl group, when X2 is —O—, Z1 represents *—X1—, *—X3—CO—O—X1—, *—X3—CO—N(Rc)—X1—, *—X3—O—CO—X1— or *—X3—N(Rc)—CO—X1—, when X2 is —N(Rc)—, Z1 represents *—X1—, *—X1—O—X3—, *—X1—CO—, *—X1—X4—CO—X3—, *—X1—CO—X4—X3—, *—X1—X4—CO—X3—CO— or *—X1—CO—X4—X3—CO—, X1 and X3 independently each represent a C1-C6 divalent aliphatic hydrocarbon group, X4 represents —O— or —N(Rc)—, * represents a binding position to X2, and R1 represents a C1-C6 alkyl group optionally having one or more halogen atoms, a hydrogen atom or a halogen atom.
    Type: Application
    Filed: November 7, 2011
    Publication date: May 17, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Masahiko SHIMADA, Takashi NISHIMURA, Akira KAMABUCHI, Hyungjoo KIM, Mitsuyoshi OCHIAI
  • Publication number: 20120112142
    Abstract: To provide a curable coloring composition that has good color hue, high transmittance properties, high light fastness and heat fastness, and excellent stability over time and solvent resistance after curing, a color filter that has good color hue, high transmittance properties, and excellent light fastness, heat fastness, and solvent resistance, and is compatible with high resolution, and a method for producing same. A curable coloring composition that includes at least one type of quinophthalone dye represented by Formula (1), a color filter employing the curable coloring composition, a method for producing the color filter, and a quinophthalone dye represented by Formula (2).
    Type: Application
    Filed: March 19, 2010
    Publication date: May 10, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Yoshihiko Fujie, Yuuki Mizukawa, Shinichi Kanna, Kazuya Oota
  • Publication number: 20120115086
    Abstract: A method for producing a polymer is provided. The polymer improves variations in the content ratio and molecular weights of a copolymer's constitutional units, solvent solubility, and the sensitivity of a resist composition using such a polymer. The method includes polymerizing two or more monomers while adding with a polymerization initiator to obtain the polymer, feeding a first solution containing first composition monomers in an initial polymerization stage, and starting dropwise addition of a second solution containing second composition monomers after or simultaneously with the feeding of the first solution. The second composition is equal to a target composition ratio of the polymer to be obtained. The first composition is calculated in advance based on a target composition ratio and the reactivity of the monomers. The above dropping rate is set to high.
    Type: Application
    Filed: July 7, 2010
    Publication date: May 10, 2012
    Applicant: Mitsubishi Rayon Co., Ltd.
    Inventors: Atsushi Yasuda, Tomoya Oshikiri, Daisuke Matsumoto, Keisuke Katou, Shinichi Maeda
  • Publication number: 20120115085
    Abstract: A positive resist composition comprising: (A) a resin which comes to have an enhanced solubility in an alkaline developing solution by an action of an acid; (B) a compound which generates an acid upon irradiation with actinic rays or a radiation; (C) a fluorine-containing compound containing at least one group selected from the groups (x) to (z); and (F) a solvent, and a method of pattern formation with the composition: (x) an alkali-soluble group; (y) a group which decomposes by an action of an alkaline developing solution to enhance a solubility in an alkaline developing solution; and (z) a group which decomposes by an action of an acid.
    Type: Application
    Filed: January 9, 2012
    Publication date: May 10, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiromi KANDA, Shinichi KANNA, Haruki INABE
  • Publication number: 20120107744
    Abstract: A resist composition containing a base component (A) which exhibits changed solubility in a developing solution under the action of acid, and an acid generator component (B) which generates acid upon exposure, wherein the acid generator component (B) includes an acid generator (B1) having a group represented by general formula (b1-1) shown below in the cation moiety.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 3, 2012
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiyuki Utsumi, Akiya Kawaue, Yoshitaka Komuro, Kenichiro Miyashita
  • Patent number: 8168370
    Abstract: A lithographic printing plate precursor includes a support; and an image forming layer formed from a photosensitive composition, wherein the photosensitive composition includes: a photopolymerization initiator; a polymerizable compound; and a binder polymer including a graft chain, and the graft chain is a hydrophilic graft chain including a hydrophilic group.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: May 1, 2012
    Assignee: FUJIFILM Corporation
    Inventor: Shuhei Yamaguchi
  • Patent number: 8168689
    Abstract: A high optical contrast pigment and colorful photosensitive composition employing the same are disclosed. The composition comprises a solvent, an alkali-soluble resin, reactive monomer, and a modified pigment which has low crystallization. The low crystallization degree means that the grain size variation R is not more 80%, wherein the grain size variation R is represented by a formula R=G1/G0×100%, G0 is the original grain size, and G1 is the grain size after modification.
    Type: Grant
    Filed: April 7, 2008
    Date of Patent: May 1, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Chin-Cheng Weng, Kuo-Tung Huang, I-Jein Cheng, Ming-Tzung Wu, Yu-Ying Hsu, Chiang-Yun Li
  • Publication number: 20120100481
    Abstract: An actinic ray-sensitive or radiation-sensitive composition, including: (1) a low molecular compound having a molecular weight of 500 to 5,000 and containing (G) an acid-decomposable group; and (2) a compound capable of generating an acid of 305 ?3 or more in volume upon irradiation with an actinic ray or radiation, an actinic ray-sensitive or radiation-sensitive composition, including: a solvent; and (1A) a compound which is a low molecular compound having a molecular weight of 500 to 5,000 and containing (Z) one or more groups capable of decomposing upon irradiation with an actinic ray or radiation to produce an acid, (G) one or more acid-decomposable groups and (S) one or more dissolution auxiliary groups, wherein assuming that the number of the functional groups in one molecule of (Z), (G) and (S) is z, q and s, respectively, q/z?2 and s/z?2, and a pattern forming method using the composition are provided.
    Type: Application
    Filed: July 28, 2010
    Publication date: April 26, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Takayuki Ito, Tomotaka Tsuchimura, Takeshi Kawabata
  • Publication number: 20120100483
    Abstract: A resist composition contains; a resin having a structural unit represented by the formula (aa) and a structural unit represented by the formula (ab); and an acid generator, wherein Raa1 represents a hydrogen atom and a methyl group; Aaa1 represents an optionally substituted C1 to C6 alkanediyl group etc.; Raa2 represents an optionally substituted C1 to C18 aliphatic hydrocarbon group; Rab1 represents a hydrogen atom and a methyl group; Aab1 represents a single bond, an optionally substituted C1 to C6 alkanediyl group etc.; W1 represents an optionally substituted C4 to C24 alicyclic hydrocarbon group; n represents 1 or 2; Aab2 in each occurrence independently represents an optionally substituted C1 to C6 aliphatic hydrocarbon group; Rab2 in each occurrence independently represents a C1 to C12 fluorinated alkyl group.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 26, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Tatsuro MASUYAMA, Satoshi YAMAMOTO, Koji ICHIKAWA
  • Publication number: 20120100482
    Abstract: A resist composition contains a resin having a structural unit represented by the formula (aa) and a structural unit represented by the formula (ab); and an acid generator, wherein Raa1 represents a hydrogen atom and a methyl group; Aaa1 represents an optionally substituted C1 to C6 alkanediyl group etc.; Raa2 represents an optionally substituted C1 to C18 aliphatic hydrocarbon group; Rab1 represents a hydrogen atom and a methyl group; Aab1 represents a single bond, an optionally substituted C1 to C6 alkanediyl group etc.; W1 represents an optionally substituted C4 to C36 alicyclic hydrocarbon group; n represents 1 or 2; Aab2 in each occurrence independently represents an optionally substituted C1 to C6 aliphatic hydrocarbon group; Rab2 in each occurrence independently represents a C1 to C12 fluorinated alkyl group.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 26, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Tatsuro MASUYAMA, Satoshi YAMAMOTO, Koji ICHIKAWA
  • Publication number: 20120100484
    Abstract: A positive photosensitive resin composition includes (A) a polybenzoxazole precursor resin, (B) a photosensitive diazoquinone compound, (C) a hindered phenol antioxidant shown by the following general formula (1), and (D) a phenol compound shown by the following general formula (2). Formula (1) is: wherein R1 represents a hydrogen atom or an organic group having 1 to 4 carbon atoms, a is an integer from 1 to 3, and b is an integer from 1 to 3. Formula (2) is: wherein R2 represents a methylene group or a single bond, c is an integer from 1 to 3, and d is an integer from 1 to 3. A protective film, an interlayer insulating film, and a semiconductor device and a display element using the same are also disclosed.
    Type: Application
    Filed: May 20, 2009
    Publication date: April 26, 2012
    Applicant: SUMITOMO BAKELITE CO., LTD.
    Inventors: Takashi Hirano, Toshio Banba, Shusaku Okamyo, Hiroaki Makabe
  • Publication number: 20120094236
    Abstract: A resist composition for immersion exposure including: a fluorine-containing polymeric compound (F) containing a structural unit (f1) having a base dissociable group and a structural unit (f2) represented by general formula (f2-1) (wherein R represents a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; and W is a group represented by any one of general formulas (w-1) to (w-4)); a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid; and an acid generator component (B) that generates acid upon exposure.
    Type: Application
    Filed: December 23, 2011
    Publication date: April 19, 2012
    Inventors: Daiju SHIONO, Tomoyuki Hirano, Sanae Furuya, Takahiro Dazai, Hiroaki Shimizu, Tsuyoshi Kurosawa, Hideto Nito, Tsuyoshi Nakamura
  • Publication number: 20120094237
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition including: (A) a resin that contains a repeating unit represented by formula (I) as defined in the specification, a repeating unit represented by formula (II) as defined in the specification and a repeating unit represented by formula (III-a) or (III-b) as defined in the specification; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; and (C) a solvent, wherein the solvent (C) contains ethyl lactate, and a film and a pattern forming method using the composition are provided.
    Type: Application
    Filed: June 30, 2010
    Publication date: April 19, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Kana Fujii, Toru Fujimori
  • Publication number: 20120094235
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a resin (A) whose solubility in an alkali developer is increased by the action of an acid, the resin containing any of the units of general formula (AI) below and any of the units of general formula (AII) below, and a compound (B) that when exposed to actinic rays or radiation, generates an acid with any of the structures of general formula (BI) below.
    Type: Application
    Filed: May 20, 2010
    Publication date: April 19, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Toru Tsuchihashi, Hideaki Tsubaki, Koji Shirakawa, Hidenori Takahashi, Tomotaka Tsuchimura
  • Publication number: 20120094234
    Abstract: The radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation sensitive acid generator. The first polymer includes a repeating unit represented by formula (1). The second polymer includes an acid labile group and is dissociated by an action of acid so that alkali solubility is given by dissociation of said acid labile group. R1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. R2 represents a single bond or a divalent linear, branched or cyclic, saturated or unsaturated hydrocarbon group having 1-20 carbon atoms. X represents a fluorine atom-substituted methylene group or a linear or branched fluoroalkylene group having 2-20 carbon atoms. R3 represents a hydrogen atom or a monovalent organic group.
    Type: Application
    Filed: December 2, 2011
    Publication date: April 19, 2012
    Applicant: JSR Corporation
    Inventors: Yasuhiko MATSUDA, Tomohisa FUJISAWA, Yukari HAMA, Takanori KAWAKAMI
  • Publication number: 20120092632
    Abstract: Methods, devices, systems, and materials are disclosed for diffraction unlimited photofabrication. A method is provided where a photoresponsive material is illuminated with a first optical pattern at a first wavelength of light. The first wavelength of light alters a solubility of the photoresponsive organic material. The photoresponsive material is also illuminated with a second optical pattern at a second wavelength of light. The second wavelength of light hinders the ability of the first wavelength of light to alter the solubility of the photoresponsive organic material where the second optical pattern overlaps the first optical pattern. The photoresponsive organic material is then developed.
    Type: Application
    Filed: April 1, 2011
    Publication date: April 19, 2012
    Applicant: The Regents of the University of Colorado, a body corporate
    Inventors: Robert R. McLeod, Christopher N. Bowman, Timothy F. Scott, Amy C. Sullivan
  • Publication number: 20120088192
    Abstract: A method of forming a pattern comprises diffusing an acid, generated by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer comprising an acid decomposable group and an attachment group, to form an interpolymer crosslink and/or covalently bonded to the surface of the substrate. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region at the surface, in the shape of the pattern. The photosensitive layer is removed to forming a self-assembling layer comprising a block copolymer having a block with an affinity for the polar region, and a block having less affinity than the first. The first block forms a domain aligned to the polar region, and the second block forms a domain aligned to the first. Removing either the first or second domain exposes a portion of the underlayer.
    Type: Application
    Filed: October 4, 2011
    Publication date: April 12, 2012
    Applicants: DOW GLOBAL TECHNOLOGIES LLC, ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventors: Peter Trefonas, Phillip Dene Hustad, Cynthia Pierre
  • Publication number: 20120082936
    Abstract: A radiation-sensitive resin composition includes an acid-labile group-containing resin, and a compound shown by the following general formula (i). R1 represents a hydrogen atom or the like, R2 represents a single bond or the like, R3 represents a linear or branched unsubstituted monovalent hydrocarbon group having 1 to 10 carbon atoms or the like, and X+ represents an onium cation.
    Type: Application
    Filed: December 15, 2011
    Publication date: April 5, 2012
    Applicant: JSR Corporation
    Inventors: Ryuichi Serizawa, Nobuji Matsumura, Hirokazu Sakakibara
  • Publication number: 20120082934
    Abstract: [Problem] To reduce the time required for a film to exhibit decreased hydrophobicity after liquid immersion lithography while allowing the surface of a film to exhibit high hydrophobicity during liquid immersion lithography. [Solution] A radiation-sensitive resin composition including (A) a polymer that includes a repeating unit (a1) and a fluorine atom, and (B) a photoacid generator, the repeating unit (a1) including a group shown by any of the following formulas (1-1) to (1-3).
    Type: Application
    Filed: September 23, 2011
    Publication date: April 5, 2012
    Applicant: JSR Corporation
    Inventors: Kazuo Nakahara, Mitsuo Sato, Yusuke Asano
  • Publication number: 20120082935
    Abstract: [Problem] To provide a resist film that exhibits high surface hydrophobicity during liquid immersion lithography, suppresses occurrence of defects due to development failure, and exhibits excellent lithographic performance [Solution] A radiation-sensitive resin composition that includes (A) a polymer that includes a repeating unit (a1) and a fluorine atom, the repeating unit (a1) including a group shown by the following formula (1) or (2), the radiation-sensitive resin composition including the polymer (A) in an amount of 0.1 mass % or more and less than 20 mass % based on the total amount of polymers included in the radiation-sensitive resin composition.
    Type: Application
    Filed: September 23, 2011
    Publication date: April 5, 2012
    Applicant: JSR Corporation
    Inventors: Toru Kimura, Hiromitsu Nakashima, Reiko Kimura, Kazuki Kasahara, Masafumi Hori, Masafumi Yoshida
  • Publication number: 20120077124
    Abstract: A resist lower layer film-forming composition includes (A) a polymer that includes a cyclic carbonate structure. The polymer (A) includes a structural unit (I) shown by the following formula (1).
    Type: Application
    Filed: September 29, 2011
    Publication date: March 29, 2012
    Applicant: JSR Corporation
    Inventors: Kazuo Nakahara, Tomoki Nagai
  • Publication number: 20120077126
    Abstract: A fluorine-containing polymer of the present invention contains a repeating unit (a) of the general formula (2) and has a mass-average molecular weight of 1,000 to 1,000,000. This polymer is suitably used in a resist composition for pattern formation by high energy ray radiation of 300 nm or less wavelength or electron beam radiation or a top coat composition for liquid immersion lithography and is characterized as having high water repellency, notably high receding contact angle. In the formula, R1 represents a polymerizable double bond-containing group; R2 represents a fluorine atom or a fluorine-containing alkyl group; R8 represents a substituted or unsubstituted alkyl group or the like; and W1 represents a single bond, a substituted or unsubstituted methylene group or the like.
    Type: Application
    Filed: May 20, 2010
    Publication date: March 29, 2012
    Inventors: Kazunori Mori, Yuji Hagiwara, Masashi Nagamori, Yoshimi Isono, Satoru Narizuka, Kazuhiko Maeda
  • Publication number: 20120077125
    Abstract: A resist composition for immersion exposure, including a base component that exhibits changed solubility in an alkali developing solution under action of acid, an acid generator component that generates acid upon exposure, and a fluorine-containing compound represented by a general formula (c-1) that is decomposable in an alkali developing solution: wherein R1 represents an organic group which may contain a polymerizable group, with the proviso that said polymerizable group has a carbon-carbon multiple bond, and the carbon atoms forming the multiple bond are not directly bonded to the carbon atom within the —C(?O)— group in general formula (c-1); and R2 represents an organic group having a fluorine atom.
    Type: Application
    Filed: December 2, 2011
    Publication date: March 29, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Daiju Shiono, Takahiro Dazai, Sanae Furuya, Tomoyuki Hirano, Takayoshi Mori
  • Publication number: 20120076996
    Abstract: Provided is a resist composition, including (A) a resin that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, (B) a compound that when exposed to actinic rays or radiation, generates an acid, the compound being any of those of general formulae (I) and (II) below, (C) a resin containing at least either a fluorine atom or a silicon atom, and (D) a mixed solvent containing a first solvent and a second solvent, at least either the first solvent or the second solvent exhibiting a normal boiling point of 200° C. or higher.
    Type: Application
    Filed: September 27, 2011
    Publication date: March 29, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Kei YAMAMOTO, Yusuke IIZUKA, Akinori SHIBUYA, Shuhei YAMAGUCHI
  • Publication number: 20120070783
    Abstract: A radiation sensitive resin composition capable of forming a photoresist film which has excellent basic resist performances concerning sensitivity, LWR, development defects, etc., gives a satisfactory pattern shape, has an excellent depth of focus, is reduced in the amount of components dissolving in a liquid for immersion exposure which is in contact with the film during immersion exposure, has a large receding contact angle with the liquid for immersion exposure, and is capable of forming a microfine resist pattern with high accuracy. The radiation sensitive resin composition contains (A) a polymer that comprises a repeating unit represented by formula (1) and a repeating unit having a fluorine atom and has an acid dissociable group in the side chain, and (B) a solvent. [In the formula (1), R1 represents a hydrogen atom, methyl, or trifluoromethyl; and Z represents a group including a structure that generates an acid upon light irradiation.
    Type: Application
    Filed: October 21, 2011
    Publication date: March 22, 2012
    Applicant: JSR Corporation
    Inventors: Hirokazu SAKAKIBARA, Takehiko NARUOKA
  • Publication number: 20120064459
    Abstract: Disclosed is a water repellent additive for an immersion resist, which is composed of a fluorine-containing polymer that has a repeating unit represented by general formula (1). By adding the water repellent additive to a resist composition, the resist composition can be controlled to have high water repellency during exposure and to exhibit improved solubility in a developing solution during development. [In the formula, R1 represents a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R2 represents a heat-labile protecting group; R3 represents a fluorine atom or a fluorine-containing alkyl group; and W represents a divalent linking group.
    Type: Application
    Filed: May 14, 2010
    Publication date: March 15, 2012
    Applicant: Central Glass Company, Limited
    Inventors: Kazuhiko Maeda, Takamasa Kitamoto, Haruhiko Komoriya, Satoru Narizuka, Yoshimi Isono, Kazunori Mori
  • Patent number: 8133656
    Abstract: An oxime ester compound represented by general formula (I): wherein R1 and R2 each independently represent R11, OR11, COR11, SR11, CONR12R13, or CN; R11, R12, and R13 each independently represent a hydrogen atom, an alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 30 carbon atoms, an arylalkyl group having 7 to 30 carbon atoms, or a heterocyclic group having 2 to 20 carbon atoms, R3 and R4 each independently represent R11, OR11, SR11, COR11, CONR12R13, NR12COR11, OCOR11, COOR11, SCOR11, OCSR11, COSR11, CSOR11, CN, a halogen atom, or a hydroxyl group; a and b each independently represent 0 to 4; X represents an oxygen atom, a sulfur atom, a selenium atom, CR31R32, CO, NR33, or PR34; R31, R32, R33, and R34 each independently represent R11, OR11, COR11, SR11, CONR12R13, or CN.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: March 13, 2012
    Assignee: Adeka Corporation
    Inventors: Daisuke Sawamoto, Koichi Kimijima
  • Publication number: 20120058431
    Abstract: A positive photosensitive composition includes: a resin (A) whose dissolution rate in an alkaline developing solution increases by the action of an acid, the resin (A) containing an acid decomposable repeating unit represented by a general formula (I) and an acid nondecomposable repeating unit represented by a general formula (II); and a compound (B) capable of generating an acid upon irradiation with one of active rays and radiations: wherein Xa1 represents one of a hydrogen atom, an alkyl group, a cyano group, and a halogen atom, A1 represents one of a single bond and a divalent connecting group, ALG represents an acid leaving hydrocarbon group, Xa2 represents one of a hydrogen atom, an alkyl group, a cyano group, and a halogen atom, A2 represents one of a single bond and a divalent connecting group, and ACG represents an acid nonleaving hydrocarbon group.
    Type: Application
    Filed: November 10, 2011
    Publication date: March 8, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Hyou TAKAHASHI, Naoya Sugimoto, Kunihiko Kodama, Kei Yamamoto
  • Publication number: 20120058429
    Abstract: A radiation-sensitive resin composition includes a polymer that includes at least one repeating unit (i) selected from a repeating unit shown by a formula (1), (2), and (3); and a repeating unit (ii) shown by a formula (4). R1 represents a hydrogen atom or a methyl group. Each R2 independently represents one of a linear or branched alkyl group having 1 to 12 carbon atoms, a linear or branched alkoxy group having 1 to 12 carbon atoms, and an alicyclic hydrocarbon group having 3 to 25 carbon atoms. p is an integer from 0 to 3, and q is an integer from 1 to 3, and p+q?5. A chemically-amplified positive-tone resist film that is sensitive to extreme ultraviolet rays (EUV) can be formed using the radiation-sensitive resin composition.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 8, 2012
    Applicant: JSR CORPORATION
    Inventors: Kota Nishino, Ken Maruyama, Daisuke Shimizu, Toshiyuki Kai
  • Publication number: 20120058430
    Abstract: A positive resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure, wherein the acid-generator component (B) includes an acid generator (B1) containing a compound represented by general formula (b1-1) shown below (wherein Z+ represents an organic cation).
    Type: Application
    Filed: September 1, 2011
    Publication date: March 8, 2012
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiyuki Utsumi, Takehiro Seshimo