Polyester Patents (Class 430/285.1)
  • Publication number: 20130052588
    Abstract: A photoresist composition containing a resin that is hardly soluble or insoluble, but which is soluble in an aqueous alkali solution by action of an acid, and a salt represented by formula (I): wherein Q1, Q2, L1, W1, W2, R1, R2, t1 and t2 are defined in the specification, and Z+ represents an organic cation.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 28, 2013
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Isao YOSHIDA, Yuichi MUKAI, Koji ICHIKAWA
  • Publication number: 20130049149
    Abstract: Provided is a method of forming a pattern, including forming an actinic-ray- or radiation-sensitive resin composition into a film, the actinic-ray- or radiation-sensitive resin composition including a resin (A) including a repeating unit containing a group that when acted on by an acid, is decomposed to thereby produce a polar group and including an aromatic group, which resin when acted on by an acid, decreases its solubility in an organic solvent, a nonionic compound (B) that when exposed to actinic rays or radiation, generates an acid and a solvent (C), exposing the film to actinic rays or radiation, and developing the exposed film with a developer including an organic solvent to thereby form a negative pattern.
    Type: Application
    Filed: August 29, 2012
    Publication date: February 28, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Keita KATO, Atsushi NAKAMURA
  • Publication number: 20130052587
    Abstract: A negative pattern is formed by coating a resist composition comprising a methylol-substituted urea, amide or urethane compound, a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Application
    Filed: August 15, 2012
    Publication date: February 28, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Tomohiro Kobayashi
  • Publication number: 20130045446
    Abstract: A radiation-sensitive resin composition includes a sulfonium compound represented by a general formula (1), and a first polymer that serves as a base resin. R represents a group represented by a general formula (2). n1 to n3 each independently represent an integer of 0 to 5 and n1+n2+n3?1. X? represents an anion. The sulfonium compound is preferably a compound represented by a following general formula (1-1).
    Type: Application
    Filed: August 31, 2012
    Publication date: February 21, 2013
    Applicant: JSR CORPORATION
    Inventors: Mitsuo SATO, Kazuo NAKAHARA
  • Publication number: 20130045445
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including a resin (P) comprising a repeating unit (A) containing a group that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid and a repeating unit (B) containing a group that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, and any of compounds (Q) of general formula (1) below.
    Type: Application
    Filed: August 16, 2012
    Publication date: February 21, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Toshiya TAKAHASHI, Hideaki TSUBAKI, Hiroshi TAMAOKI, Shuji HIRANO, Hiroo TAKIZAWA
  • Publication number: 20130040239
    Abstract: A salt represented by formula (I): wherein Q1, Q2, L1, W, and Z+ are defined in the specification.
    Type: Application
    Filed: August 6, 2012
    Publication date: February 14, 2013
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Yuichi MUKAI, Isao YOSHIDA
  • Publication number: 20130040096
    Abstract: Provided is a method of forming a pattern and an actinic-ray- or radiation-sensitive resin composition that excels in the limiting resolving power, roughness characteristics, exposure latitude (EL) and bridge defect performance. The method of forming a pattern includes (1) forming an actinic-ray- or radiation-sensitive resin composition into a film, (2) exposing the film to light, and (3) developing the exposed film with a developer containing an organic solvent. The actinic-ray- or radiation-sensitive resin composition contains (A) a resin containing a repeating unit with a structural moiety that is configured to decompose when exposed to actinic rays or radiation to thereby generate an acid, and (B) a solvent.
    Type: Application
    Filed: May 20, 2011
    Publication date: February 14, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Kaoru Iwato, Hidenori Takahashi, Shuji Hirano, Sou Kamimura, Keita Kato
  • Patent number: 8372577
    Abstract: It is disclosed a photosensitive resin composition comprising (a) a binder polymer based on a copolymer containing benzyl (meth)acrylate as a building block, (b) a photopolymerizable compound having at least one polymerizable ethylenically unsaturated group in the molecule and (c) a photopolymerization initiator based on a hexarylbisimidazole compound, with a light-initiated color former being optionally contained as component (d). The composition has long-term keeping quality, exhibits particularly high resistance to plating and dry etching, as well as assuring improvement in resolution and adhesion; the composition may be used to form a photosensitive dry film.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: February 12, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yukihiko Tanaka, Shinkichi Asahi, Naoya Katsumata
  • Publication number: 20130029270
    Abstract: A resist composition is provided comprising a (co)polymer comprising recurring units of acid labile group-substituted (meth)acrylic acid, styrenecarboxylic acid or vinylnaphthalenecarboxylic acid and/or recurring units having an acid labile group-substituted phenolic hydroxyl group, an acid generator, and a metal salt of carboxylic acid or a metal complex of ?-diketone. Due to a high contrast of alkaline dissolution rate before and after exposure, high resolution, high sensitivity, and controlled acid diffusion rate, the composition forms a pattern with satisfactory profile and minimal LER.
    Type: Application
    Filed: July 5, 2012
    Publication date: January 31, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Publication number: 20130029269
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal edge roughness. In formula (1), A is —(CR22)m—, B is —(CR52)n—, R2 and R5 are hydrogen or alkyl, m and n are 1 or 2, R3 is alkyl, alkenyl, alkynyl or aryl, R6 is alkyl, alkoxy, alkanoyl, alkoxycarbonyl, hydroxyl, nitro, aryl, halogen, or cyano, and p is 0 to 4.
    Type: Application
    Filed: July 25, 2012
    Publication date: January 31, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20130026044
    Abstract: A chemically amplified positive resist composition is provided comprising an alkali-insoluble or substantially alkali-insoluble polymer having an acid labile group-protected acidic functional group, an alkyl vinyl ether polymer, a photoacid generator, and a benzotriazole compound in a solvent. The composition forms on a substrate a resist film of 5-100 ?m thick which can be briefly developed to form a pattern at a high sensitivity and a high degree of removal or dissolution to bottom.
    Type: Application
    Filed: July 24, 2012
    Publication date: January 31, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Hiroyuki Yasuda, Katsuya Takemura
  • Patent number: 8361697
    Abstract: [Purpose] To provide a photosensitive resin composition having satisfactory compatibility during dry film formation, exhibiting similar sensitivity for exposure with both i-line radiation and h-line radiation type exposure devices, having excellent resolution and adhesiveness, allowing development with aqueous alkali solutions, and preferably, having no generation of aggregates during development. [Solution Means] A photosensitive resin composition comprising (a) 20-90 wt % of a thermoplastic copolymer having a specific copolymerizing component copolymerized, and having a carboxyl group content of 100-600 acid equivalents and a weight-average molecular weight of 5,000-500,000, (b) 5-75 wt % of an addition polymerizable monomer having at least one terminal ethylenic unsaturated group, (c) 0.01-30 wt % of a photopolymerization initiator containing a triarylimidazolyl dimer, and (d) 0.001-10 wt % of a pyrazoline compound.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: January 29, 2013
    Assignee: Asahi Kasei E-Materials Corporation
    Inventor: Yosuke Hata
  • Publication number: 20130022927
    Abstract: Disclosed are a photosensitive composition containing an aqueous dispersion and having excellent storage stability, and a photosensitive lithographic printing plate material using the photosensitive composition and being capable of being developed using water, which is advantageous not only in that the photosensitive lithographic printing plate material exhibits high sensitivity and high image quality as well as excellent storage stability, but also in that even when the printing plate material is repeatedly subjected to developing treatment using water, the generation of sludge derived from the photosensitive composition in the developer repeatedly used is suppressed, achieving excellent developing properties.
    Type: Application
    Filed: March 25, 2011
    Publication date: January 24, 2013
    Applicant: MITSUBISHI PAPER MILLS LIMITED
    Inventors: Akira Furukawa, Takahiro Hagihara
  • Publication number: 20130022928
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator having an acid labile group, wherein R1, A1, A13, A14, X12 are defined in the specification.
    Type: Application
    Filed: July 18, 2012
    Publication date: January 24, 2013
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Satoshi YAMAGUCHI, Yuki SUZUKI
  • Publication number: 20130017492
    Abstract: An image is formed via positive/negative reversal on organic solvent development using a photoresist film comprising a polymer comprising recurring units of isosorbide (meth)acrylate in which one hydroxyl group of isosorbide is bonded to form (meth)acrylate and the other hydroxyl group is substituted with an acid labile group and an acid generator. The resist film is characterized by a high dissolution contrast between the unexposed and exposed regions. The photoresist film is exposed to radiation and developed in an organic solvent to form a fine hole pattern with good size control and high sensitivity.
    Type: Application
    Filed: July 11, 2012
    Publication date: January 17, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa, Kazuhiro Katayama
  • Publication number: 20130011793
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130011794
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130012648
    Abstract: The invention provides a colored composition including a dye multimer having an alkali-soluble group as a dye, the dye multimer having a weight-average molecular weight (Mw) of from 5,000 to 20,000 and a dispersity (weight-average molecular weight (Mw)/number-average molecular weight (Mn)) of from 1.00 to 2.50.
    Type: Application
    Filed: March 30, 2011
    Publication date: January 10, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Yoshihiko Fujie, Masaru Yoshikawa, Shinichi Kanna, Kenta Ushijima
  • Publication number: 20130011791
    Abstract: To provide a coloring photosensitive composition and a lithographic printing plate precursor, ensuring that coloring stability after exposure by infrared laser exposure is good and high coloring is obtained even when exposed after the elapse of time. These can be a coloring photosensitive composition containing a microgel encapsulating (A) a polymer having a glass transition temperature of 50° C. or more, (B) a photoinitiator, and (C) an infrared absorbing dye, and a lithographic printing plate precursor having an image-recording layer containing the composition.
    Type: Application
    Filed: March 15, 2011
    Publication date: January 10, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Shota Suzuki, Toshihide Aoshima
  • Publication number: 20130011792
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130011795
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130004740
    Abstract: An actinic-ray-sensitive or radiation-sensitive resin composition which is capable of improving line edge roughness (LER) and inhibiting pattern collapse, a resist film and a pattern forming method each using the same, a method for preparing an electronic device, and an electronic device are provided. The actinic-ray-sensitive or radiation-sensitive resin composition contains: (A) a resin having repeating units having a structure represented by any one of the following general formulae (I-1) to (I-3), and repeating units containing at least one selected from the group consisting of a lactone structure, a sultone structure, and a cyano group; and (B) a compound that generates an acid by irradiation with actinic rays or radiations.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 3, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Shohei KATAOKA, Yusuke IIZUKA, Akinori SHIBUYA, Tomoki MATSUDA, Naohiro TANGO
  • Publication number: 20130001483
    Abstract: Disclosed is a photosensitive resin composition for a color filter that includes (A) an acrylic-based binder resin including a structural unit represented by the following Chemical Formula 1; (B) an acrylic-based photopolymerizable monomer; (C) a photopolymerization initiator; (D) a colorant; and (E) a solvent. In the above Chemical Formula, each definition of R1, R2, R3 and R4 is the same as defined in the detailed description.
    Type: Application
    Filed: January 19, 2012
    Publication date: January 3, 2013
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Chang-Min LEE, Yeon-Soo LEE, Yong-Hee KANG, Man-Suk KIM, Taek-Jin BAEK, Hyun-Moo CHOI, Kyung-Hee HYUNG, Sang-Hyun HONG
  • Publication number: 20130004895
    Abstract: A photosensitive resin composition comprising: a vinyl-based polymer (I) obtained by polymerizing a monomer mixture containing a monomer (a) having a phenolic hydroxyl group; a vinyl-based polymer (II) obtained by polymerizing a monomer mixture containing a carboxyl group-containing vinyl monomer (b), and having a weight average molecular weight of 20,000 to 100,000, provided that the vinyl-based polymer (I) is excluded; a quinonediazide compound (III); and a compound (IV) represented by following formula (5). [In the formula, Y is a hydrocarbon group of 1 to 6 carbon atoms; 1 and m are each independently an integer of 1 to 3; n is 1 or 2; p and q are each independently 0 or 1.
    Type: Application
    Filed: December 27, 2010
    Publication date: January 3, 2013
    Applicants: MICRO PROCESS INC., Mitsubishi Rayon Co., Ltd., EVERLIGHT CHEMICAL INDUSTRIAL CORPORATION
    Inventors: Akifumi Ueda, Hidetaka Nakagawara, Kazuo Watanabe, Shigeki Watanabe, Weiren Lan, Zhaowen Lin
  • Publication number: 20130004741
    Abstract: An actinic-ray- or radiation-sensitive resin composition according to the present invention includes (A) a resin to be decomposed to increase its solubility in an alkali developer when acted on by an acid, and (B) a compound represented by the general formula (1-1) below.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 3, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Tomoki MATSUDA, Yoko TOKUGAWA, Akinori SHIBUYA
  • Publication number: 20120328986
    Abstract: A salt represented by formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, n represents 0 or 1, Ll represents a single bond or a C1-C10 alkanediyl group in which a methylene group may be replaced by an oxygen atom or carbonyl group, provided that Ll is not a single bond when n is 0, ring W represents a C3-C36 aliphatic ring in which a methylene group may be replaced by an oxygen atom, a sulfur atom, a carbonyl group or a sulfonyl group and in which a hydrogen atom may be replaced by a hydroxyl group, a C1-C12 alkyl group or a C1-C12 alkoxy group, Rl represents a hydroxyl group or a hydroxyl group protected by a protecting group, and Z+ represents an organic cation.
    Type: Application
    Filed: April 10, 2012
    Publication date: December 27, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Yukako ANRYU, Koji ICHIKAWA
  • Publication number: 20120328987
    Abstract: A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer comprising recurring units of cycloolefin having a hydroxyl group substituted with an acid labile group, an acid generator, and an organic solvent displays a high dissolution contrast and high etch resistance.
    Type: Application
    Filed: June 22, 2012
    Publication date: December 27, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Tomohiro Kobayashi, Koji Hasegawa
  • Patent number: 8338081
    Abstract: An alkali developable photosensitive resin composition contains (J) a photopolymerizable unsaturated compound having a structure resulting from the addition reaction of (B) a compound having a ?-diketone moiety or a compound having a ?-ketoester group to the (meth)acryloyl group of (A) a compound having at least two (meth)acryloyl groups and a hydroxyl group and subsequent esterification of the hydroxyl group of the resulting addition product with (C) a polybasic acid anhydride. The compound having a ?-diketone moiety is preferably a novel ?-diketone compound represented by general formula (I): wherein R1 is a C1-C20 alkyl group; R2 represents R11, OR11, COR11, SR11, CONR12R13, or CN; R11, R12, and R13 are each hydrogen, a C1-C20 alkyl group, etc.; a is 0 to 3; and b is 0 to 4.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: December 25, 2012
    Assignee: Adeka Corporation
    Inventors: Takashi Yamada, Naomi Sato, Koichi Kimijima
  • Publication number: 20120322007
    Abstract: A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using a developer containing an organic solvent, wherein the resist composition contains (A) a resin, (B) a compound capable of generating a specific acid upon irradiation with an actinic ray or radiation, (C) a crosslinking agent, and (D) a solvent.
    Type: Application
    Filed: February 18, 2011
    Publication date: December 20, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Keita Kato, Shinji Tarutani, Sou Kamimura, Yuichiro Enomoto, Kaoru Iwato
  • Publication number: 20120315580
    Abstract: A salt represented by formula (I): wherein Q1 and Q2 each independently represent a fluorine atom or a C1-C6 perfluoroalkyl group, A1 represents a C1-C30 monovalent organic group, X1 represents a C1-C10 aliphatic hydrocarbon group where a hydrogen atom may be replaced by a hydroxy group, m1 and m2 independently each represent an integer of 1 to 4, and Z+ represents an organic cation.
    Type: Application
    Filed: June 7, 2012
    Publication date: December 13, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Tatsuro MASUYAMA, Yuichi MUKAI
  • Publication number: 20120315581
    Abstract: A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer comprising recurring units of (meth)acrylate having two adjacent hydroxyl groups substituted with acid labile groups, an acid generator, and an organic solvent displays a high dissolution contrast between the unexposed region of promoted dissolution and the exposed region of inhibited dissolution.
    Type: Application
    Filed: June 12, 2012
    Publication date: December 13, 2012
    Inventors: Jun HATAKEYAMA, Koji Hasegawa
  • Publication number: 20120308932
    Abstract: There is disclosed a polymer having a repeating unit shown by the following general formula (1). There can be, in a photolithography using a high energy beam such as an ArF excimer laser beam and an EUV as a light source, (1) a polymer that gives a resist composition having an appropriate adhesion with a substrate and being capable of forming a pattern having excellent resolution, especially an excellent rectangular pattern profile, (2) a chemically amplified resist composition containing the said polymer, and (3) a patterning process using the said chemically amplified resist composition.
    Type: Application
    Filed: May 21, 2012
    Publication date: December 6, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masayoshi SAGEHASHI, Youichi OHSAWA, Koji HASEGAWA, Tomohiro KOBAYASHI
  • Publication number: 20120308931
    Abstract: A resist composition including a base component which exhibits changed solubility in a developing solution under action of acid, and an acid generator containing compounds represented by general formulas (b1) and (b2) shown below X-Q1-Y1—SO3?A+??(b 1) in which Q1 represents a divalent linking group containing an oxygen atom, Y1 represents an alkylene group of 1-4 carbons which may have a substituent or a fluorinated alkylene group 1-4 carbons which may have a substituent, X represents an alicyclic hydrocarbon group of 3-30 carbons which may have a substituent, and A+ represents an organic cation R1—Y5—SO2?A+??(b 2) in which R1 represents a monovalent chain-like aliphatic hydrocarbon group containing a hetero atom at an arbitrary position, Y5 represents an alkylene group of 1-4 carbons which may have a substituent or a fluorinated alkylene group of 1-4 carbons which may have a substituent, and A+ represents an organic cation.
    Type: Application
    Filed: March 29, 2012
    Publication date: December 6, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tsuyoshi Kurosawa, Kotaro Endo, Yuta Iwasawa
  • Patent number: 8323536
    Abstract: A near-infrared absorbing dye has an anion of formula (1) wherein A1 is H or CF3, R0 is OH or —OC(?O)—R?, and R? is a monovalent hydrocarbon group. The dye has excellent solvent solubility as well as good optical properties and heat resistance, offering the advantages of easy coating and effective working during film formation. The dye free of heavy metal in its structure is advantageously used in the process of fabricating semiconductor devices.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: December 4, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Takeshi Kinsho, Kazumi Noda, Seiichiro Tachibana
  • Publication number: 20120301830
    Abstract: The present invention is related to a photosensitive resin composition containing: a vinyl-based copolymer (I) obtained by polymerizing a monomer mixture containing a monomer (a) having a phenolic hydroxyl group and a carboxyl group-containing vinyl monomer (b); a quinonediazide compound (II) and a compound (III) represented by the following formula (5), and to a photosensitive dry film and a method for forming a patter by using the photosensitive resin composition.
    Type: Application
    Filed: December 27, 2010
    Publication date: November 29, 2012
    Applicants: MICRO PROCESS INC., Mitsubishi Rayon Co., Ltd., EVERLIGHT CHEMICAL INDUSTRIAL CORPORATION
    Inventors: Akifumi Ueda, Hidetaka Nakagawara, Kazuo Watanabe, Shigeki Watanabe, Weiren Lan, Zhaowen Lin
  • Publication number: 20120301828
    Abstract: A composition comprising a polymer comprising repeat units selected from formulae (1) to (4), an aromatic ring-containing polymer, a near-infrared absorbing dye, and a solvent is used to form a near-infrared absorptive film. R1, R7, R9, and R14 are H, methyl, fluorine or trifluoromethyl, R2 to R6 are H, F, trifluoromethyl, —C(CF3)2OR16, alkyl or alkoxy, at least one of R2 to R6 being F or a fluorinated group, R16, R8 and R13 are H or a monovalent organic group, L1 is a single bond or —C(?O)O—, m is 0 or 1, L2 is a di- or trivalent hydrocarbon group, n is 1 or 2, R10 to R12 are H, hydroxyl, halogen or a monovalent organic group, and R15 is a fluorinated C2-C15 hydrocarbon group.
    Type: Application
    Filed: May 27, 2011
    Publication date: November 29, 2012
    Inventors: Seiichiro TACHIBANA, Kazumi NODA, Masaki OHASHI, Takeshi KINSHO, Wu-Song HUANG, Dario L. GOLDFARB, Wai-Kin LI, Martin GLODDE
  • Publication number: 20120301829
    Abstract: A resist composition comprising a base component (A) which exhibits changed solubility in a developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) comprising an acid generator (B1) composed of a compound represented by general formula (b1-1) shown below [wherein, X represents a cyclic group of 3 to 30 carbon atoms which may have a substituent, provided that a ring skelton of the cyclic group contains an —SO2— bond or an —O—SO2— bond, and at least one carbon atom which is not adjacent to the —SO2— bond or the —O—SO2— bond has an oxygen atom as a substituent; Q1 represents a divalent linking group or a single bond; Y1 represents an alkylene group which may have a substituent or a fluorinated alkylene group which may have a substituent; and A+ represents an organic cation].
    Type: Application
    Filed: May 23, 2012
    Publication date: November 29, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Akiya Kawaue, Yoshiyuki Utsumi
  • Publication number: 20120292412
    Abstract: A photosensitive resin composition includes (a) a compound polymerizable in the presence of an acid, and (b) a photoacid generating agent including an onium salt having a cationic part structure represented by formula (b1) below and an anionic part structure represented by formula (b2) below, wherein the component (b) absorbs 50% or more of the amount of 365 nm wavelength light absorbed by the photosensitive resin composition,
    Type: Application
    Filed: February 1, 2011
    Publication date: November 22, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Hyo Takahashi, Ken Ikegame, Masako Shimomura
  • Publication number: 20120288796
    Abstract: A resist composition is provided comprising a polymer comprising recurring units having a hydroxyl group substituted with an acid labile group, an onium salt PAG capable of generating a sulfonic acid, imide acid or methide acid, and an onium salt PAG capable of generating a carboxylic acid. A resist film of the composition is improved in dissolution contrast during organic solvent development, and from which a hole pattern having minimized nano-edge roughness can be formed via positive/negative reversal.
    Type: Application
    Filed: May 11, 2012
    Publication date: November 15, 2012
    Inventors: Kazuhiro KATAYAMA, Jun Hatakeyama, Youichi Ohsawa, Koji Hasegawa, Tomohiro Kobayashi
  • Publication number: 20120288797
    Abstract: The present invention relates to a composition comprising a photoresist polymer and a fluoropolymer. In one embodiment, the fluoropolymer comprises a first monomer having a pendant group selected from alicyclic bis-hexafluoroisopropanol and aryl bis-hexafluoroisopropanol and preferably a second monomer selected from fluorinated styrene and fluorinated vinyl ether. The invention composition has improved receding contact angles with high refractive index hydrocarbon fluids used in immersion lithography and, thereby, provides improved performance in immersion lithography.
    Type: Application
    Filed: July 8, 2012
    Publication date: November 15, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hiroshi Ito, Daniel Paul Sanders, Linda Sundberg
  • Publication number: 20120288691
    Abstract: A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer, wherein the chemical amplification resist composition contains (A) a resin containing a repeating unit having two or more hydroxyl groups, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a crosslinking agent and (D) a solvent; a pattern formed by the pattern forming method; a chemical amplification resist composition used in the pattern forming method; and a resist film formed using the chemical amplification resist composition.
    Type: Application
    Filed: January 13, 2011
    Publication date: November 15, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Kaoru Iwato, Shinji Tarutani, Yuichiro Enomoto, Sou Kamimura, Keita Kato
  • Publication number: 20120282550
    Abstract: A radiation-sensitive composition includes a polymer composition and a radiation-sensitive acid generator. The polymer composition includes a first polymer and a second polymer. The first polymer includes a repeating unit shown by a following formula (1). The second polymer includes a repeating unit shown by a following formula (2) and does not include a repeating unit shown by the formula (1).
    Type: Application
    Filed: June 20, 2012
    Publication date: November 8, 2012
    Applicant: JSR Corportion
    Inventors: Yuji YADA, Tooru Kimura, Tomohiro Utaka
  • Patent number: 8304169
    Abstract: The present invention relates to a novel alkali-developable resin, a method of producing the alkali-developable resin, a photosensitive resin composition including the alkali-developable resin, and a device that is manufactured by using the photosensitive composition. In the case of when the alkali-developable resin is used as a component of the photosensitive composition, the photosensitivity, the developability and the film remaining rate of the pattern are improved.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: November 6, 2012
    Assignee: LG Chem, Ltd.
    Inventors: Min-Young Lim, Han-Soo Kim, Yoon-Hee Heo, Ji-Heum Yoo, Sung-Hyun Kim
  • Publication number: 20120276481
    Abstract: A method of forming a resist pattern, the method including: forming a resist film on a substrate using a resist composition containing a base component (A) that exhibits reduced solubility in an organic solvent under the action of acid, an acid generator component (B) that generates acid upon exposure and a fluorine-containing polymeric compound (F), exposing the resist film, and patterning the resist film by negative tone development using a developing solution containing the organic solvent, thereby forming a resist pattern, wherein the base component (A) contains a resin component (A1) containing a structural unit (a1) derived from an acrylate ester, the dissolution rates of (A1) and (F) in the developing solution are each at least 10 nm/s, and the absolute value of the difference in the dissolution rates of (A1) and (F) in the developing solution is not more than 80 nm/s.
    Type: Application
    Filed: April 26, 2012
    Publication date: November 1, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Hiroaki Shimizu, Hideto Nito
  • Publication number: 20120273924
    Abstract: Provided are an actinic-ray- or radiation-sensitive resin composition that excels in the sensitivity, roughness characteristics and exposure latitude, and a method of forming a pattern using the same. The composition includes (A) a resin that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, and (B) a compound that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid, the compound being any of compounds of general formula (1-1) below.
    Type: Application
    Filed: December 22, 2011
    Publication date: November 1, 2012
    Applicant: FUJIFILM Corporation
    Inventors: Tomoki Matsuda, Akinori Shibuya, Yoko Tokugawa, Shuhei Yamaguchi, Mitsuhiro Fujita
  • Publication number: 20120276482
    Abstract: A radiation sensitive resin composition includes a first polymer having a group represented by a following formula (1), and a radiation sensitive acid generator. n is an integer of 2 to 4. X represents a single bond or a bivalent organic group. A represents a (n+1) valent linking group. Each Q independently represents a group that includes an alkali-dissociable group.
    Type: Application
    Filed: April 27, 2012
    Publication date: November 1, 2012
    Applicant: JSR Corporation
    Inventors: Takakazu Kimoto, Mitsuo Sato, Yusuke Asano, Tomohiro Kakizawa
  • Publication number: 20120270155
    Abstract: There are provided a novel compound, a polymeric compound, a resist composition, an acid generator and a method of forming a resist pattern the compound represented by general formula (1-1): wherein each of R1 and R3 independently represents a single bond or a divalent linking group; A represents a divalent linking group; each of R2 and R4 independently represents a hydroxyl group, a hydrocarbon group which may have a substituent, or a group represented by general formula (1-an1), (1-an2) or (1-an3), provided that at least one of R2 and R4 represents a group represented by general formula (1-an1), (1-an2) or (1-an3); and n0 is preferably 0 or 1, and wherein Y1 represents a single bond or —SO2—; R5 represents a linear or branched monovalent hydrocarbon group of 1 to 10 carbon atoms, cyclic monovalent hydrocarbon group of 3 to 20 carbon atoms or monovalent hydrocarbon group of 3 to 20 carbon atoms having a cyclic partial structure which may be substituted with a fluorine atom; and M+ represents an organic ca
    Type: Application
    Filed: April 18, 2012
    Publication date: October 25, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yoshitaka Komuro, Yoshiyuki Utsumi, Akiya Kawaue, Masatoshi Arai
  • Publication number: 20120270154
    Abstract: The present invention provides a resin comprising a structural unit derived from a compound represented by the formula (I): wherein R1 represents a hydrogen atom or a methyl group, A2 represents a divalent fluorine-containing C1-C12 hydrocarbon group, and A1 represents a group represented by the formula (a-g1): ?A10-X10?sA11-??(a-g1) wherein A10 is independently in each occurrence a C1-C5 aliphatic hydrocarbon group, A11 represents a C1-C5 aliphatic hydrocarbon group, X10 is independently in each occurrence —O—, —CO—, —CO—O— or —O—CO—, and s represents an integer of 0 to 2, and a photoresist composition comprising the resin and an acid generator.
    Type: Application
    Filed: April 16, 2012
    Publication date: October 25, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Tatsuro MASUYAMA, Koji ICHIKAWA
  • Publication number: 20120264060
    Abstract: A salt represented by formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C17 divalent saturated hydrocarbon group in which a methylene group may be replaced by an oxygen atom or a carbonyl group, L2 and L3 respectively represent a single bond or a C1-C6 divalent saturated alkyl group in which a methylene group may be replaced by an oxygen atom or a carbonyl group, ring W1 and ring W2 respectively represent a C3-C36 hydrocarbon ring, R1 and R2 respectively represent a hydrogen atom or C1-C6 alkyl group, R3 represents C1-C6 alkyl group, t represents an integer of 0 to 2 and Z+ represents an organic counter ion
    Type: Application
    Filed: April 10, 2012
    Publication date: October 18, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Isao YOSHIDA, Yuko YAMASHITA
  • Publication number: 20120264061
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1?) and/or a compound represented by (b1-1?) (R1?-R3? represents an aryl group or an alkyl group, provided that at least one of R1?-R3? represents a substituted aryl group being substituted with a group represented by (b1-1-0), and two of R1?-R3? may be mutually bonded to form a ring with the sulfur atom; X represents a C3-C30 hydrocarbon group; Q1 represents a carbonyl group-containing divalent linking group; X10 represents a C1-C30 hydrocarbon group; Q3 represents a single bond or a divalent linking group; Y10 represents —C(?O)— or —SO2—; Y11 represents a C1-C10 alkyl group or a fluorinated alkyl group: Q2 represents a single bond or an alkylene group; and W represents a C2-C10 alkylene group).
    Type: Application
    Filed: May 23, 2012
    Publication date: October 18, 2012
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo HADA, Yoshiyuki Utsumi, Takehiro Seshimo, Akiya Kawaue