Named Electrical Device Patents (Class 430/319)
  • Publication number: 20120015305
    Abstract: An apparatus and method for catalyzing a reaction on a substrate (24) comprising, a light source (12), a micromirror (16) positioned to redirect light (14) from the light source (12) toward a substrate (24) wherein the redirected light (14) catalyzes a chemical reaction proximate a substrate (24), is disclosed. A computer (18) is connected to, and controls, the positioning of mirrors within the micromirror (16) to specifically redirect light to specific portions of a substrate. The substrate (24) can be placed in a reaction chamber (50), wherein the light (14) that is redirected by the micromirror (16) catalyzes a chemical reaction proximate a substrate (24).
    Type: Application
    Filed: September 28, 2011
    Publication date: January 19, 2012
    Inventor: Harold R. Garner
  • Publication number: 20120015286
    Abstract: A mask blank substrate for a photomask is chucked on a mask stage of an exposure apparatus. A main surface, on the side where a thin film for a transfer pattern is to be formed, of the mask blank substrate has a flatness of 0.3 ?m or less in a 142 mm square area including its central portion and has a convex shape being relatively high at its central portion and relatively low at its peripheral portion. The difference upon fitting, to the main surface of the mask blank substrate, a virtual reference main surface, having a spherical shape in a 132 mm square area, of a virtual reference substrate is 40 nm or less.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 19, 2012
    Applicant: HOYA CORPORATION
    Inventor: Masaru TANABE
  • Publication number: 20120015293
    Abstract: A positive type resist composition for use in liquid immersion exposure comprises: (A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid; (B) a compound generating acid upon irradiation with one of an actinic ray and a radiation; (C) an alkali soluble compound having an alkyl group of 5 or more carbon atoms; and (D) a solvent.
    Type: Application
    Filed: September 8, 2011
    Publication date: January 19, 2012
    Applicant: FUJIFILM Corporation
    Inventors: Hiromi KANDA, Haruki INABE
  • Publication number: 20120009510
    Abstract: A lithography mask is disclosed. The lithography mask is for use with an exposure apparatus which forms an unpatterned first region and a patterned second region that includes groups of desired patterns in a photosensitive layer. The lithography mask includes a transparent substrate; and a patterned light blocking layer that is formed above the transparent substrate and that is configured to block or partially transmit incident light. The patterned light blocking layer includes a first mask pattern that exposes the first region. The first mask pattern includes a periodic pattern having a sub-resolution pitch that is given by an exposure condition of the exposure apparatus.
    Type: Application
    Filed: July 7, 2011
    Publication date: January 12, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Kenji KAWANO
  • Publication number: 20120009524
    Abstract: A photosensitive material for use in semiconductor manufacture comprises a copolymer that includes a plurality of photoresist chains and a plurality of hydrophobic chains, each hydrophobic chain attached to the end of one of the photoresist chains. The copolymer in response to externally applied energy will self-assemble to a photoresist layer and a hydrophobic layer.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsiao-Wei Yeh, Jen-Chieh Shih, Jian-Hong Chen
  • Publication number: 20120003585
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition comprises (A) any of the compounds of General Formula (I) below and (B) a resin that contains the residue (c) of a compound having an ionization potential value lower than that of phenol and when acted on by an acid, exhibits an increased solubility in an alkali developer, wherein Ar represents an aromatic ring having Cy groups and optionally further other substituents, n is an integer of 2 or greater, Cy represents a group having a substituted or unsubstituted alkyl group or a group having a substituted or unsubstituted cycloaliphatic group, provided that a plurality of Cy groups may be identical with or different from each other, and M+ represents an organic onium ion.
    Type: Application
    Filed: March 12, 2010
    Publication date: January 5, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Hideaki Tsubaki, Koji Shirakawa, Tadateru Yatsuo, Toru Tsuchihashi, Tomotaka Tsuchimura
  • Publication number: 20110317116
    Abstract: In a liquid crystal display apparatus 100 that includes a pair of substrates and a vertical alignment liquid crystal layer 14, one substrate 1 has a plurality of protrusions 24 substantially in a columnar shape on a surface in contact with the liquid crystal layer 14. The contour of the upper surface of each protrusion 24 on a surface parallel to the substrate 1 includes a linear portion extending in a substabtially straight line along a direction X. The shape of the contour of the upper surface of the protrusion 24 has line symmetry with respect to an axis along a direction Y, does not have line symmetry with respect to an axis along the direction X, and does not have a rotational symmetry axis in the direction normal to the substrate. The ratio WY/L of the length WY of the upper surface of each protrusion 24 in the direction Y to the length L of the linear portion is at least 1.6 but no more than 2.5.
    Type: Application
    Filed: March 3, 2010
    Publication date: December 29, 2011
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Tadashi Kawamura
  • Publication number: 20110318694
    Abstract: A source-collector module (SOCOMO) for generating a laser-produced plasma (LPP) that emits EUV radiation, and a grazing-incidence collector (GIC) mirror arranged relative to the LPP and having an input end and an output end. The LPP is formed using an LPP target system having a light source portion and a target portion, wherein a pulsed laser beam from the light source portion irradiates Sn vapor from a Sn vapor source of the target portion. The GIC mirror is arranged relative to the LPP to receive the EUV radiation at its input end and focus the received EUV radiation at an intermediate focus adjacent the output end. A radiation collection enhancement device may be used to increase the amount of EUV radiation provided to the intermediate focus. An EUV lithography system that utilizes the SOCOMO is also disclosed.
    Type: Application
    Filed: June 28, 2010
    Publication date: December 29, 2011
    Inventors: Richard Levesque, Natale M. Ceglio, Giovanni Nocerino, Fabio Zocchi
  • Patent number: 8084184
    Abstract: A composition for removing a photoresist includes a) an amine compound having a cyclic amine and/or a diamine, b) a glycol ether compound, c) a corrosion inhibitor and d) a polar solvent. The composition further includes a stripping promoter. Further disclosed is a method of manufacturing an array substrate using the composition for removing a photoresist.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: December 27, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Hyun Choung, Hong-Sick Park, Sun-Young Hong, Bong-Kyun Kim, Byeoung-Jin Lee, Byung-Uk Kim, Jong-Hyun Jeong, Suk-Il Yoon, Sung-Gun Shin, Soon-Beom Huh, Se-Hwan Jung, Doo-Young Jang
  • Patent number: 8084191
    Abstract: A thermoelectric module and method of manufacture thereof, capable of preventing short-circuits between electrodes due to solder without causing increases in size or cost. A thermoelectric module is configured with lower electrodes formed on the inside surface of a lower substrate, placed in opposition to an upper substrate, on the inside surface of which are formed upper electrodes; the end faces of thermoelectric elements are soldered to the lower electrodes and upper electrodes. Each of the electrodes is configured from three layers, which are a copper layer, a nickel layer formed on one face of the copper layer, and a gold layer formed on one face of the nickel layer; a visor portion, protruding outward, is formed in the nickel layer, so that when positioning the thermoelectric elements above the electrodes and soldering the electrodes to the thermoelectric elements, the flowing of solder 18a from the side portions of electrodes to the insulating substrate is prevented.
    Type: Grant
    Filed: October 15, 2009
    Date of Patent: December 27, 2011
    Assignee: Japan Corporation
    Inventor: Hidetoshi Yasutake
  • Publication number: 20110311918
    Abstract: To provide a production method of a liquid crystal display device and a liquid crystal display device, in which generation of a joint line on a display screen is suppressed and yield can be improved even if a substrate is subjected to an alignment treatment by completing exposure for the substrate through several exposures in a liquid crystal display device including pixels each having two or more domains.
    Type: Application
    Filed: August 3, 2011
    Publication date: December 22, 2011
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Iichiro INOUE, Hiroyuki Hakoi, Shinichi Terashita, Koichi Miyachi
  • Publication number: 20110308942
    Abstract: In a first aspect, the present invention is directed to a microelectrode array for detecting heavy metals in an aqueous solution. The microelectrode array can comprise a layer of a doped carbon film and a patterning layer arranged on the doped carbon film for defining multiple microelectrodes in the doped carbon film to form the microelectrode array. The size, and shape, and arrangement of each of the multiple microelectrodes can be defined by the size, and shape, and arrangement of each of the openings in the patterning layer which expose the underlying doped carbon film. Furthermore, the ratio of the maximal width of a microelectrode relative to the shortest distance between the neighboring microelectrodes (center to center) in the microelectrode array is between about 1:1.2 and about 1:6. The present invention is also directed to an apparatus using the microelectrode array and methods of manufacturing the same.
    Type: Application
    Filed: July 23, 2010
    Publication date: December 22, 2011
    Applicant: Nanyang Technological University
    Inventors: Erjia Liu, Wenguang Ma, Guocheng Yang, Aiping Liu, Nay Win Khun, Zhaomeng Wang
  • Publication number: 20110310338
    Abstract: To provide a production method of a liquid crystal display device and a liquid crystal display device, in which generation of a joint line on a display screen is suppressed and yield can be improved even if a substrate is subjected to an alignment treatment by completing exposure for the substrate through several exposures in a liquid crystal display device including pixels each having two or more domains.
    Type: Application
    Filed: August 3, 2011
    Publication date: December 22, 2011
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Iichiro INOUE, Hiroyuki Hakoi, Shinichi Terashita, Koichi Miyachi
  • Patent number: 8080366
    Abstract: An in-line process for making a thin film electronic device on a substrate is described comprising the steps of: a) depositing a structurable layer onto a substrate; b) depositing a patternable material onto the structurable layer in a first pattern; and c) etching the structurable layer in areas uncovered by the patternable material. The steps are carried out without intermediate exposure of the substrate to ambient air.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: December 20, 2011
    Assignee: OTB Solar B.V.
    Inventors: Ronaldus Joannes Cornelis Maria Kok, Marinus Franciscus Johannes Evers, Franciscus Cornelius Dings
  • Patent number: 8080350
    Abstract: Disclosed is a positive photosensitive resin composition containing (A) an alkali-soluble resin, (B) a diazoquinone compound, (d1) an activated silicon compound and (d2) an aluminum complex. Also disclosed is a positive photosensitive resin composition containing (A) an alkali-soluble resin, (B) a diazoquinone compound, (C) a compound having two or more oxetanyl groups in one molecule and (D) a catalyst for accelerating the ring-opening reaction of the oxetanyl groups of the compound (C).
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: December 20, 2011
    Assignee: Sumitomo Bakelite Company, Ltd.
    Inventors: Toshio Banba, Ayako Mizushima
  • Publication number: 20110305992
    Abstract: A pattern forming method comprising a step of applying a resist composition whose solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation and which contains a resin having an alicyclic hydrocarbon structure and a dispersity of 1.7 or less and being capable of increasing the polarity by the action of an acid, an exposure step, and a development step using a negative tone developer; a resist composition for use in the method; and a developer and a rinsing solution for use in the method, are provided, whereby a pattern with reduced line edge roughness and high dimensional uniformity can be formed.
    Type: Application
    Filed: August 2, 2011
    Publication date: December 15, 2011
    Applicant: FUJIFILM Corporation
    Inventors: Shinji TARUTANI, Hideaki TSUBAKI, Kazuyoshi MIZUTANI, Kenji WADA, Wataru HOSHINO
  • Publication number: 20110300485
    Abstract: Provided is a pattern-forming method including, in the following order: (1) a process of forming a film with an actinic ray-sensitive or radiation-sensitive resin composition comprising a resin which contains an acid-decomposable repeating unit and is capable of decreasing the solubility in an organic solvent by the action of an acid; (2) a process of exposing the film with an electron beam or an EUV ray; and (4) a process of developing the film with a developer containing an organic solvent.
    Type: Application
    Filed: February 19, 2010
    Publication date: December 8, 2011
    Applicant: FUJIFILM CORPORATION
    Inventors: Hideaki Tsubaki, Koji Shirakawa, Toru Tsuchihashi
  • Publication number: 20110300489
    Abstract: An exemplary method for providing a conductive material structure on a carrier generally includes applying a photo sensitive material on the carrier and applying a mask on the photo sensitive material. The mask defines a conductive material structure to be formed on the carrier. The method also includes irradiating the defined structure on the carrier in order to prepare for metallization, and metalizing the defined structure for forming the conductive material structure.
    Type: Application
    Filed: August 17, 2011
    Publication date: December 8, 2011
    Applicant: LAIRD TECHNOLOGIES AB
    Inventor: Ulf Palin
  • Patent number: 8071271
    Abstract: Disclosed is a method for producing a conductive film, which includes a silver metal forming step for forming a silver metal portion by exposing and developing a photosensitive material which has a silver salt-containing layer containing a silver salt on a supporting body, and a smoothing step for smoothing the silver metal portion. The smoothing step is performed by calender roll at a line pressure of not less than 1960 N/cm (200 kgf/cm). Consequently, the surface resistance of the film after development can be reduced in production of a conductive film which is effective for shielding electromagnetic waves.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: December 6, 2011
    Assignee: Fujifilm Corporation
    Inventors: Akira Ichiki, Makoto Kusuoka
  • Publication number: 20110294073
    Abstract: The present invention provides a method for preparing metal powder, which includes the steps of: providing a base substrate; forming a pattern layer, having a concave-convex pattern of a predetermined shape, on the base substrate; forming a metal film separated from the pattern layer by the concave-convex pattern; and separating the metal film from the pattern layer, thereby naturally patterning the metal film in the predetermined shape, and a method for manufacturing inner electrodes of a multilayer ceramic capacitor using the same.
    Type: Application
    Filed: September 10, 2010
    Publication date: December 1, 2011
    Inventors: Ji Hwan SHIN, Sung Kwon Wi, Jun Hee Kim
  • Publication number: 20110287234
    Abstract: A resist pattern forming method including in the following order, (1) a step of forming a film by using a negative chemical-amplification resist composition capable of undergoing negative conversion by a crosslinking reaction, (2) a step of exposing the film, and (4) a step of developing the exposed film by using a developer containing an organic solvent; a developer and a negative chemical-amplification resist composition used therefor; and a resist pattern formed by the pattern forming method.
    Type: Application
    Filed: January 29, 2010
    Publication date: November 24, 2011
    Applicant: FUJIFILM CORPORATION
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koji Shirakawa, Hideaki Tsubaki, Akira Asano
  • Publication number: 20110281208
    Abstract: A hybrid mask set for exposing a plurality of layers on a semiconductor substrate to create an integrated circuit device is disclosed. The hybrid mask set includes a first group of one or more multi-layer masks (MLMs) for a first subset of the plurality of layers. Each MLM includes a plurality of different images for different layers, the images being separated by a relatively wide image spacer. The hybrid mask set also includes a first group of one or more production-ready masks for a second subset of the plurality of layers. Each production-ready mask includes a plurality of similar images for a common layer, each image being separated by a relatively narrow scribe street.
    Type: Application
    Filed: July 21, 2011
    Publication date: November 17, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Feng-Lung Lin, Kuan-Liang Wu, Fei-Gwo Tsai, Che-Rong Liang
  • Patent number: 8057986
    Abstract: The resist material contains a photo-acid generator having an absorption peak to exposure light having a wavelength of less than 300 nm, and a second photo-acid generator having an absorption peak to exposure light having a wavelength of 300 nm or more. The method for forming a resist pattern comprises a step for selectively exposing which exposes a coating film of the resist material to an exposure light having a wavelength of less than 300 nm, and a step for selectively exposing by using an exposure light having a wavelength of 300 nm or more. The semiconductor device comprises a pattern formed by the resist pattern. The method for forming a semiconductor device comprises a step for forming a resist pattern on an underlying layer by the aforementioned manufacturing method, and a step for patterning the underlying layer by etching using the resist pattern as a mask.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: November 15, 2011
    Assignee: Fujitsu Limited
    Inventors: Junichi Kon, Ei Yano
  • Publication number: 20110275018
    Abstract: A method comprises providing a bottom electrode, depositing, on the bottom electrode, an active material comprising a first structural portion having an absorption peak at a UV wavelength, wherein such first structural portion is photo-activatable at such wavelength and which is constituted by monomers or oligomers that, when irradiated at said wavelength, undergo a photo-polymerization and/or photo-cross-linking reaction, or constituted by a polymer that at a UV wavelength undergoes a photo-degradation reaction, and a second electrically active or activatable structural portion which is substantially transparent to such predetermined UV wavelength; exposing a portion of the active material, through a photomask, to UV radiation having such UV wavelength, with photo-activation of the exposed portion of such film; selectively removing either the exposed photo-activated portion or the non-exposed portion, with exposure of a respective portion of the bottom electrode; depositing a head electrode.
    Type: Application
    Filed: May 5, 2011
    Publication date: November 10, 2011
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Andrea di Matteo, Angela Cimmino
  • Patent number: 8053173
    Abstract: A novel multi-functional linear siloxane compound, a siloxane polymer prepared from the siloxane compound, and a process for forming a dielectric film by using the siloxane polymer. The linear siloxane polymer has enhanced mechanical properties (e.g., modulus), superior thermal stability, a low carbon content and a low hygroscopicity and is prepared by the homopolymerization of the linear siloxane compound or the copolymerization of the linear siloxane compound with another monomer. A dielectric film can be produced by heat-curing a coating solution containing the siloxane polymer which is highly reactive. The siloxane polymer prepared from the siloxane compound not only has satisfactory mechanical properties, thermal stability and crack resistance, but also exhibits a low hygroscopicity and excellent compatibility with pore-forming materials, which leads to a low dielectric constant.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae Jun Lee, Jong Baek Seon, Hyun Dam Jeong, Jin Heong Yim, Hyeon Jin Shin
  • Patent number: 8053176
    Abstract: The present invention provides a patterning method of an organic EL device that can easily and efficiently be performed with high definition, and that can control a contrast by a luminous brightness. In an organic EL device having an organic layer, including an organic luminescent material, formed between a pair of counter electrodes, ultraviolet ray is irradiated to the organic layer, and the amount of irradiation is changed to form an emission pattern having a contrast caused by a luminous brightness corresponding to the amount of the irradiation of the ultraviolet ray.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: November 8, 2011
    Assignee: Yamagata Promotional Organization for Industrial Technology
    Inventors: Takashi Kawai, Atsushi Oda
  • Patent number: 8052498
    Abstract: A method of forming a color filter touch sensing substrate integrates touch-sensing structures/elements of a touch panel into the inner side of the color filter substrate, which faces a thin film transistor substrate, and forms patterned assistant electrodes on the surfaces of the transparent sensing pads for decreasing the equivalent resistance of the touch-sensing structures/elements. Moreover, since an adjacent transparent conductive layer and an assistant electrode layer are patterned to form the transparent sensing pads and the patterned assistant electrodes, a simplified pattern-transferring process can be applied to the transparent sensing pads and the patterned assistant electrodes, or bridge structures can be formed from the assistant electrode layer for electrically connecting between some transparent sensing pads. Therefore, the forming process is simplified.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: November 8, 2011
    Assignee: AU Optronics Corp.
    Inventors: Yu-Feng Chien, Chau-Shiang Huang, Tun-Chun Yang, Seok-Lyul Lee
  • Patent number: 8048615
    Abstract: There is provided an underlayer coating that is used as an underlayer of photoresists in lithography process of the manufacture of semiconductor devices and that has a high dry etching rate in comparison to the photoresists depending on the type of etching gas, does not intermix with the photoresists, and is capable of flattening the surface of a semiconductor substrate having holes of a high aspect ratio; and an underlayer coating forming composition for forming the underlayer coating. The underlayer coating forming composition for forming by light irradiation an underlayer coating used as an underlayer of a photoresist in a lithography process of the manufacture of semiconductor devices, includes a polymerizable compound containing 5 to 45% by mass of silicon atom (A), a photopolymerization initiator (B), and a solvent (C).
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: November 1, 2011
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Yusuke Horiguchi, Keisuke Hashimoto, Makoto Nakajima
  • Publication number: 20110262847
    Abstract: A mask blank manufacturing department manufactures a mask blank by forming a thin film to be a mask pattern on a mask blank transparent substrate. When providing the mask blank to a mask manufacturing department, the mask blank manufacturing department provides optical characteristic information (transmittance variation) of the mask blank transparent substrate and optical characteristic information (transmittance variation and/or phase difference variation) of the mask blank to the mask manufacturing department. The optical characteristic information of the mask blank transparent substrate is provided to the mask blank manufacturing department from a materials processing department that manufactures mask blank transparent substrates.
    Type: Application
    Filed: June 30, 2011
    Publication date: October 27, 2011
    Applicant: HOYA CORPORATION
    Inventors: Osamu SUZUKI, Hiroyuki Akagawa, Masaru Tanabe, Atsushi Kawaguchi, Naozumi Ishibashi
  • Publication number: 20110262846
    Abstract: A before-chucking main surface shape is measured in an actual measurement region of a main surface of a substrate which has been precision-polished and, based on the before-chucking main surface shape of the substrate and a shape of a mask stage (1), an after-chucking main surface shape of the substrate when a photomask (2) manufactured from the substrate is set in an exposure apparatus is obtained through simulation. A selection is made of the substrate in which the after-chucking main surface shape has a flatness of a first threshold value or less in a virtual calculation region thereof. For the selected substrate, a calculation is made of a first approximate curve approximate to a cross-sectional shape along a first direction in a correction region of the after-chucking main surface shape.
    Type: Application
    Filed: March 17, 2010
    Publication date: October 27, 2011
    Applicant: HOYA CORPORATION
    Inventor: Masaru Tanabe
  • Publication number: 20110262867
    Abstract: According to one embodiment, evaluation map creating method is disclosed. The method determines number (N) of times on changing division starting position of layout for segmenting the layout into areas M to create the map by segmenting the layout into areas m and obtaining evaluation value v corresponding to area m (P1). The layout is divided by areas M larger than area m by changing the position, centers of k pieces of areas mk among areas m coincide centers of k pieces of areas M among areas M (P2). Pattern densities D of the layout in areas M is obtained (P3). Evaluation values Vk on areas Mk are calculated by convolving pattern density D for each of areas M with distribution function F (P4). The P2-P4 are repeated N times and obtained N pieces of evaluation values Vk are synthesized (P5).
    Type: Application
    Filed: March 21, 2011
    Publication date: October 27, 2011
    Inventors: Taiga UNO, Yukiyasu Arisawa
  • Publication number: 20110262869
    Abstract: An exposure system includes an exposure device and an image processing device. The exposure device includes a plurality of cameras. Each of the cameras is configured so as to be selectively set to a full scan mode and a partial scan mode. The camera transmits all of obtained image data in the full scan mode, and extracts part of the obtained image data and transmits the partial image data in the partial scan mode. The image processing device paratactically performs processing using the image data transmitted from the camera and processing using the image data transmitted from the camera.
    Type: Application
    Filed: April 12, 2011
    Publication date: October 27, 2011
    Applicant: NITTO DENKO CORPORATION
    Inventors: Kousuke MURAKAMI, Akira ARIMA, Tomohiro HATTORI, Shuuhei MIYAZAKI
  • Publication number: 20110262868
    Abstract: When an alignment mark does not exist within an area of an image obtained by a camera, the coordinate of the alignment mark is calculated based on an identification mark existing in the area of the image and a previously stored positional relationship between the alignment mark and the identification mark. A distance by which a long-sized base material is to be moved for causing the alignment mark to be positioned within the imaging area of the camera is calculated based on the calculated coordinate of the alignment mark, and the long-sized base material is moved by the calculated distance.
    Type: Application
    Filed: April 12, 2011
    Publication date: October 27, 2011
    Applicant: NITTO DENKO CORPORATION
    Inventors: Kousuke MURAKAMI, Akira ARIMA, Tomohiro HATTORI, Shuuhei MIYAZAKI
  • Patent number: 8044441
    Abstract: Provided is an electrode patterning layer used for forming an electrode pattern of any optional shape depending on the difference in wettability with an electrode-forming solution, the electrode patterning layer employing a polyimide type resin which is highly reliable as an electronic material. The electrode patterning layer is prepared by irradiating a layer comprising a polyamic acid having repeating units at the formula (1) or a polyimide obtainable by cyclodehydration of such a polyamic acid, with ultraviolet ray in a pattern shape: wherein A is a tetravalent organic group, B is a bivalent organic group, each of A and B may be of a single type or plural types, and n is a positive integer, provided that at least one type of A is a tetravalent organic group having an alicyclic structure.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: October 25, 2011
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Shinichi Maeda, Go Ono
  • Publication number: 20110253425
    Abstract: Methods of making flexible circuit films include providing a polymer film or other flexible substrate having a plurality of alignment marks and a photosensitive material thereon. The substrate passes around a suitable roller, belt, or other inelastic conveyor such that the substrate and the conveyor move together at least from a first location to a second location. Positions of a first set of the alignment marks on a first portion of the substrate are measured when such portion is at the first location, and the measured positions can be used to calculate a distortion of the substrate. The photosensitive material is then patternwise exposed when the first portion of the substrate has moved to the second location. The patternwise exposing is based on the measured positions of the first set of alignment marks, and may include exposing the web with a distortion-adjusted pattern. Related systems and articles are also disclosed.
    Type: Application
    Filed: December 17, 2009
    Publication date: October 20, 2011
    Inventors: Michael A. Haase, Jeffrey H. Tokie, Daniel J. Theis, Brian K. Nelson
  • Publication number: 20110256481
    Abstract: New photoresist are provided that comprises a low-Tg component and that are particularly useful for ion implant lithography applications. Preferred photoresists of the invention can exhibit good adhesion to underlying inorganic surfaces such as SiON, silicon oxide, silicon nitride and other inorganic surfaces.
    Type: Application
    Filed: December 15, 2010
    Publication date: October 20, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventor: Gerhard POHLERS
  • Publication number: 20110256482
    Abstract: Provided herein is a photosensitive resin composition which is patternable and exhibits adhesion even after being crosslinked. The photosensitive resin composition includes a (meth)acryl-based polymer having a carboxyl group and a reactive double bond on a side chain and having a specific acid value and a specific content of reactive double bond, and a photopolymerization initiator.
    Type: Application
    Filed: February 25, 2011
    Publication date: October 20, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Suwa TATSUHIRO
  • Publication number: 20110256473
    Abstract: A substrate set is a mask blank substrate set including a plurality of substrates each for use in a mask blank for producing a photomask to be chucked on a mask stage of an exposure apparatus. In each of the substrates in the mask blank substrate set, a main surface, on the side where a thin film for forming a transfer pattern is to be formed, has a convex shape being relatively high at its center and relatively low at its peripheral portion. In each substrate, the flatness in a 142 mm square area, including a central portion, of the main surface is 0.3 ?m or less and the difference upon fitting to a reference main surface of a reference substrate is 40 nm or less.
    Type: Application
    Filed: June 23, 2011
    Publication date: October 20, 2011
    Applicant: HOYA CORPORATION
    Inventor: Masaru Tanabe
  • Publication number: 20110256484
    Abstract: To provide a method for producing a comb-shaped electrode capable of precisely carrying a large amount of active materials on a surface of current collectors with a fine shape. The method for producing comb-shaped electrodes 1a, 1b of the present invention includes a current collector forming step of forming a pair of comb-shaped current collectors 2a, 2b on a surface of a substrate 4, a resist coating step of forming a resist layer 6 on the surface of the substrate 4, and a guide hole forming step of forming guide holes 7a, 7b for forming a positive electrode 1a or a negative electrode 1b, in which a cationic polymerization type resist composition (i), a novolak type resist composition (ii), a chemically-amplified type resist composition (iii), or a radical polymerization type resist composition (iv), is used as a resist composition for forming the resist layer 6.
    Type: Application
    Filed: April 12, 2011
    Publication date: October 20, 2011
    Applicants: TOKYO METROPOLITAN UNIVERSITY, TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takahiro ASAI, Koichi MISUMI, Takashi ONO, Kiyoshi KANAMURA, Hirokazu MUNAKATA
  • Publication number: 20110250745
    Abstract: Some embodiments include methods of forming patterns in substrates by utilizing block copolymer assemblies as patterning materials. A block copolymer assembly may be formed over a substrate, with the assembly having first and second subunits arranged in a pattern of two or more domains. Metal may be selectively coupled to the first subunits relative to the second subunits to form a pattern of metal-containing regions and non-metal-containing regions. At least some of the block copolymer may be removed to form a patterned mask corresponding to the metal-containing regions. A pattern defined by the patterned mask may be transferred into the substrate with one or more etches. In some embodiments, the patterning may be utilized to form integrated circuitry, such as, for example, gatelines.
    Type: Application
    Filed: April 7, 2010
    Publication date: October 13, 2011
    Inventors: Dan Millward, Scott Sills
  • Publication number: 20110248388
    Abstract: A wafer includes an active region and a kerf region surrounding at least a portion of the active region. The wafer also includes a target region having a rectangular shape with a width and a length greater than the width, the target region including one or more target patterns, at least one of the target patterns being formed by two sub-patterns disposed at opposing corners of a target rectangle disposable within the target region.
    Type: Application
    Filed: April 9, 2010
    Publication date: October 13, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christopher P. Ausschnitt, Allen H. Gabor, Nelson M. Felix
  • Publication number: 20110244683
    Abstract: A semiconductor structure is fabricated with a void such as a line, contact, via or zia. To prevent slurry particles from falling into and remaining in a void during a chemical-mechanical planarization process, a protective coat is provided in the void to trap the slurry particles and limit an extent to which they can enter the void. A metal layer is provided above the protective coat. Subsequently, the protective coat and trapped slurry particles are removed by cleaning, leaving a void which is substantially free of slurry particles. This is beneficial such as when the void is used as an alignment mark. The protective coat can be an organic layer such as spin-on carbon or i-line photoresist, an ashable material such as amorphous carbon, or a dissolvable and selective material such as SiN.
    Type: Application
    Filed: April 1, 2010
    Publication date: October 6, 2011
    Inventor: Michiaki Sano
  • Publication number: 20110244378
    Abstract: Disclosed is a photomask having a wavelength-reducing material that may be used during photolithographic processing. In one example, the photomask includes a transparent substrate, an absorption layer having at least one opening, and a layer of wavelength-reducing material (WRM) placed into the opening. The thickness of the WRM may range from approximately a thickness of the absorption layer to approximately ten times the wavelength of light used during the photolithographic processing. In another example, the photomask includes at least one antireflection coating (ARC) layer.
    Type: Application
    Filed: June 14, 2011
    Publication date: October 6, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Burn Jeng Lin, Jeng Horng Chen, Chun-Kuang Chen, Tsai-Sheng Gau, Ru-Gun Liu, Jen-Chieh Shih
  • Publication number: 20110244395
    Abstract: A method for haze control in a semiconductor process, includes: providing an exposure tool with a photocatalyzer coating inside and exposing a wafer in the exposure tool in the presence of activation of the photocatalyzer coating. The photocatalyzer coating may be formed within an opaque region of a reticle.
    Type: Application
    Filed: April 6, 2010
    Publication date: October 6, 2011
    Inventors: Pei-Lin Huang, Yi-Ming Wang, Chun-Yen Huang
  • Publication number: 20110244396
    Abstract: A first carrier unit carries out a substrate tray that supports a substrate from below from a substrate holder by sliding the substrate tray in one axis direction (Y-axis direction) parallel to the substrate surface. Meanwhile, a second carrier unit carries in a substrate tray that supports a substrate subject to carry-in from below onto the substrate holder by sliding the substrate tray in the Y-axis direction, in parallel with the carry-out operation of the substrate (in a state where a part of the substrate tray that supports the substrate subject to carry-out is located on the substrate holder). Consequently, exchange of the substrate on the substrate holder can speedily be performed.
    Type: Application
    Filed: March 8, 2011
    Publication date: October 6, 2011
    Applicant: NIKON CORPORATION
    Inventor: Yasuo AOKI
  • Patent number: 8026045
    Abstract: A conductor circuit (2) having a predetermined pattern is formed on a base material (1); a photosensitive resin composition layer is formed on a surface of the base material, on which the conductor circuit (2) having the predetermined pattern is formed, by using a photosensitive resin composition; a surface of the photosensitive resin composition layer is irradiated with and exposed to active light rays through a photomask having a predetermined pattern; a solder resist layer (3a) having a predetermined pattern is formed by using a developing solution; and the formed solder resist layer (3a) is irradiated with ultraviolet light by using a low-pressure mercury-vapor lamp.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: September 27, 2011
    Assignee: Nitto Denko Corporation
    Inventors: Masaki Mizutani, Hirofumi Fujii
  • Patent number: 8026036
    Abstract: The present invention relates to a photosensitive resin composition excellent in pliability, ultraviolet sensitivity for development, developability with an aqueous alkali solution, and storage stability at room temperature and a circuit substrate employing the same. The photosensitive resin composition includes a siloxane-containing polyamic acid resin having structural units respectively represented by the following formulae (1), (2), and (3) and a photopolymerization initiator incorporated therein. The circuit substrate is coated with the photosensitive resin composition.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: September 27, 2011
    Assignee: Nippon Steel Chemical Co., Ltd.
    Inventors: Kiwamu Tokuhisa, Kentaro Hayashi, Hironobu Kawasato
  • Publication number: 20110229823
    Abstract: A method for fabricating a fuel cell component includes the steps of providing a mask having a plurality of radiation transparent apertures, a radiation-sensitive material having a sensitivity to the plurality of radiation beams, and a flow field layer. The radiation-sensitive material is disposed on the flow field layer. The radiation-sensitive material is then exposed to the plurality of radiation beams through the radiation transparent apertures in the mask to form a diffusion medium layer with a micro-truss structure.
    Type: Application
    Filed: June 2, 2011
    Publication date: September 22, 2011
    Applicants: GM GLOBAL TECHNOLOGY OPERATIONS LLC, HRL Laboratories, LLC
    Inventors: Jeffrey A. Rock, Yeh-Hung Lai, Keith E. Newman, Gerald W. Fly, Ping Liu, Alan J. Jacobsen, William B. Carter, Peter D. Brewer
  • Publication number: 20110229824
    Abstract: A circuit pattern having a size finer than a half of a wavelength of an exposure beam is transferred on a semiconductor wafer plane with an excellent accuracy by means of a mask whereupon an integrated circuit pattern is formed and a reduction projection aligner. The accuracy of transferring the circuit pattern on the semiconductor wafer is improved by synergic effects of super-resolution exposure, wherein a mask cover made of a transparent medium is provided on a pattern side of the integrated circuit mask so as to suppress the aberration of reduction projection alignment, and a method of increasing the number of actual apertures of the optical reduction projection lens system provided with the wafer cover made of the transparent medium on a photoresist side of the semiconductor wafer to which planarizing process is performed.
    Type: Application
    Filed: August 27, 2010
    Publication date: September 22, 2011
    Inventors: Yoshihiko OKAMOTO, Masami OGITA
  • Publication number: 20110227208
    Abstract: The present invention relates to structure and manufacture method for multi-row lead frame and semiconductor package, the method characterized by forming a pad portion on a metal material (first step); performing a surface plating process or organic material coating following the first pattern formation (second step); forming a second pattern on the metal material (third step); and packaging a semiconductor chip following the second pattern formation (fourth step), whereby an under-cut phenomenon is minimized by applying a gradual etching.
    Type: Application
    Filed: September 25, 2009
    Publication date: September 22, 2011
    Inventors: Ji Yun Kim, Hyun Sub Shin, Sung Won Lee, Hyung Eui Lee, Yeong Uk Seo, Sung Wuk Ryu, Hyuk Soo Lee