Named Electrical Device Patents (Class 430/319)
  • Publication number: 20120252215
    Abstract: A method for fabricating a semiconductor device, includes dividing a pattern region of a desired pattern that is to be formed on a semiconductor substrate into a plurality of sub-regions; calculating combination condition including a shape of illumination light for transferring and a mask pattern obtained by correcting a partial pattern in the sub-region of the desired pattern formed on a mask used during transferring for each of the plurality of sub-regions, to make a dimension error of the partial pattern of each of the plurality of sub-regions smaller when transferred to the semiconductor substrate; and forming the desired pattern by making multiple exposures on the semiconductor substrate in such a way that the partial patterns of the sub-regions divided are sequentially transferred by transferring a pattern to the semiconductor substrate using the combination conditions calculated for each of the sub-regions.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 4, 2012
    Applicant: NuFlare Technology, Inc.
    Inventor: Takayuki ABE
  • Publication number: 20120251929
    Abstract: A phase shift mask blank having, on a transparent substrate, a phase shift film including, as main components, a metal, silicon (Si) and nitrogen (N), having optical characteristics of a transmittance of equal to or greater than 9% and equal to or less than 30% with respect to a wavelength of the ArF excimer laser beam and a phase difference of equal to or greater than 150° and less than 180°, and a light-shielding film formed on the phase shift film. A thickness of the phase shift film is equal to or less than 80 nrn, a refractive index (n) with respect to the wavelength of the ArF excimer laser beam is equal to or greater than 2.3, and an extinction coefficient (k) is equal to or greater than 0.28.
    Type: Application
    Filed: June 8, 2012
    Publication date: October 4, 2012
    Applicant: HOYA CORPORATION
    Inventors: Osamu NOZAWA, Masahiro HASHIMOTO
  • Publication number: 20120251931
    Abstract: Provided is a transfer mask which has a transfer pattern formed in a pattern-forming thin film provided on a transparent substrate and is adapted to be applied with exposure light having a wavelength of 200 nm or less. The pattern-forming thin film is made of a material containing silicon an a transition metal other than chromium and the chromium content in the film is less than 1.0×1018 atoms/cm3.
    Type: Application
    Filed: October 8, 2010
    Publication date: October 4, 2012
    Applicant: HOYA CORPORATION
    Inventors: Masahiro Hashimoto, Kazuya Sakai, Toshiyuki Suzuki, Kazunori Ono
  • Publication number: 20120244475
    Abstract: A method for fabricating a flowfield for a fuel cell includes the steps of: providing a substrate; providing a plurality of radiation sources configured to generate a plurality of radiation beams; disposing a radiation-sensitive material on the substrate; placing an imaging mask between the plurality of radiation sources and the radiation-sensitive material; and exposing the radiation-sensitive material to the plurality of radiation beams through a first portion of the radiation-transparent apertures and a second portion of the radiation-transparent apertures in the imaging mask to form the plurality of truss elements and the plurality of wall elements in the radiation-sensitive material, the truss elements forming a plurality of trusses configured to support an adjacent diffusion medium layer, and the wall elements defining a fluid path along a length of the substrate.
    Type: Application
    Filed: March 22, 2011
    Publication date: September 27, 2012
    Applicants: HRL LABORATORIES, GM GLOBAL TECHNOLOGY OPERATIONS LLC
    Inventors: Jeffrey A. ROCK, Jeff D. WILLIAMS, Alan J. JACOBSEN, Peter D. BREWER, William B. CARTER
  • Publication number: 20120244471
    Abstract: A method for forming a pattern includes forming a photosensitive film by coating a photosensitive resin composition on a substrate, exposing the photosensitive film to light through a mask that includes a light transmission region and a non-light transmission region, coating a developing solution on the photosensitive film, and forming a photosensitive film pattern by baking the photosensitive film, wherein the photosensitive resin composition includes an alkali soluble base resin, a photoacid generator and a photoactive compound.
    Type: Application
    Filed: September 2, 2011
    Publication date: September 27, 2012
    Inventors: Jeong Won KIM, Jin Ho JU, Jong Kwang LEE, Min KANG, Tae Gyun KIM
  • Publication number: 20120244476
    Abstract: The energy distribution of exposure light directed passing through the slit of an exposure apparatus is determined. A photoresist layer on a substrate is exposed over a plurality of shots while changing the intensity of the exposure light for each shot. Then the photoresist layer is developed to form a sample photoresist layer. An image of the developed sample photoresist layer is analyzed for color intensity. Values of the color intensity across a selected one of the shots are correlated with values of the intensity of the exposure light to produce an energy distribution of the exposure light along the length of the slit. The energy distribution is used to change the slit so that a more desirable energy distribution may be realized when the slit is used in a process of manufacturing a semiconductor device.
    Type: Application
    Filed: February 23, 2012
    Publication date: September 27, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: JIN-SEOK HEO, SEOK-HWAN OH, JEONG-HO YEO
  • Patent number: 8273524
    Abstract: A producing method of a liquid discharging head includes a discharge port discharging a liquid and a flow path communicating with the discharge port, the method comprising the steps of forming a pattern of a shape of the flow path on a substrate, forming a layer of a negative type photosensitive resin composition including a photo-initiated polymerization initiator on the substrate so as to coat the pattern, at least a region of the layer in a vicinity of the substrate including a sensitizing agent of the photo-initiated polymerization initiator, a density of the sensitizing agent in the layer formed to be higher in the region than in a part where the discharge port is formed, forming the discharge port by exposing the layer to pattern the layer, and removing a pattern to form the flow path.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: September 25, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Isamu Horiuchi, Kazunari Ishizuka
  • Publication number: 20120236278
    Abstract: A technique related with a lithography system is disclosed. The lithography system includes at least one target object disposed on a substrate, a processor configured to process an image of the target object to determine an optical pattern for a coating layer of the target object, and an exposure apparatus configured to provide light having the optical pattern determined by the processor to the substrate.
    Type: Application
    Filed: March 29, 2012
    Publication date: September 20, 2012
    Applicant: SNU R&DB FOUNDATION
    Inventors: Sung Hoon KWON, Sueun Chung, Seungah Lee, Jisung Jang, Sangkwon Han
  • Publication number: 20120237878
    Abstract: A method for fabricating a magnetic transducer having a nonmagnetic intermediate layer is described. A pole is provided on the intermediate layer. The pole has sides, a bottom, a top wider than the bottom and a leading bevel proximate to an ABS location. A side gap is provided adjacent to at least the sides of the pole. A bottom antireflective coating (BARC) layer is provided on the intermediate layer. The BARC layer is removable using a wet etchant and is adjacent to at least a portion of the side gap. A mask layer is provided on the BARC layer. A pattern is photolithographically transferred into the mask layer, forming a shield mask. Part of the BARC layer is exposed to the wet etchant such that the sides of the pole and the side gap are free of the BARC layer. At least a magnetic side shield is provided.
    Type: Application
    Filed: March 18, 2011
    Publication date: September 20, 2012
    Applicant: WESTERN DIGITAL (FREMONT), LLC
    Inventors: XIANZHONG ZENG, DUJIANG WAN, HONGPING YUAN, LING WANG, MIAO WANG, HAI SUN
  • Publication number: 20120237879
    Abstract: A method for manufacturing a semiconductor device that includes a plurality of gate patterns in parallel with each other within one circuit block provided over a semiconductor substrate includes preparing a first photomask, performing a first photolithography process upon a photoresist layer within a circuit block by using the first photomask, preparing a second photomask that includes a trim photomask having at least one trim opening corresponding to a dummy gate pattern to remove a portion of the photoresist layer corresponding to the dummy gate pattern, and performing a second photolithography process upon the photoresist layer by using the second photomask.
    Type: Application
    Filed: May 31, 2012
    Publication date: September 20, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Masashi Fujimoto
  • Patent number: 8268538
    Abstract: A method for producing a thin film transistor includes providing a glass substrate; disposing a positive photosensitive coating on the glass substrate; providing a transparent molding plate having a plurality of ladder opaque protrusions that are arranged in accordance with a predetermined pattern and that have at least two different depths; pressing the transparent molding plate into the positive photosensitive coating without contacting the glass substrate; exposing a part of the positive photosensitive coating which is unshielded under the ladder opaque protrusions, with a UV light; separating the transparent molding plate from the glass substrate after the step of exposing; and removing the part of the positive photosensitive coating, which is unshielded under the ladder opaque protrusions and not cured, using a chemical solvent, whereby the thin film transistor is formed in a pattern having more than two different depths.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: September 18, 2012
    Assignees: Taiwan TFT LCD Association, Chunghwa Picture Tubes, Ltd., AU Optronics Corp., Hannstar Display Corp., Chi Mei Optoelectronics Corp., Industrial Technology Research Institute, Toppoly Optoelectronics Corp.
    Inventors: Lin-En Chou, Chia-Hao Tsai, Wen-Tung Wang
  • Patent number: 8269358
    Abstract: A bis(aminophenol) derivative having substituents at positions adjacent to two amino groups is provided. The bis(aminophenol) derivative is used as a raw material of a polyamide resin for a positive-tone photosensitive resin composition. A polyamide resin comprising bis(aminophenol) and a structure derived from a carboxylic acid is also provided, the bis(aminophenol) having substituents at positions adjacent to the two amino groups. A positive-tone photosensitive resin composition comprising a polybenzooxazole precursor resin, exhibiting high sensitivity and a high cyclization rate even when cured at a low temperature is provided. Also provided is a positive-tone photosensitive resin composition comprising a polyamide resin having an imide structure, an imide precursor structure, or an amide acid ester structure. The composition exhibits high sensitivity and produces a cured product having low water absorption even when cured at a low temperature.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: September 18, 2012
    Assignee: Sumitomo Bakelite Company Limited
    Inventor: Koji Terakawa
  • Patent number: 8268537
    Abstract: A printed circuit board substrate includes a metal-clad substrate and a number of N spaced circuit substrates arranged on the metal-clad substrate along an imaginary circle, N is a natural number greater than 2. The circuit substrates are equiangularly arranged about the center of the circle, and each of the circuit substrates is oriented 360/N degrees with respect to a neighboring printed circuit board.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: September 18, 2012
    Assignee: Zhen Ding Technology Co., Ltd.
    Inventors: Pai-Hung Huang, Chih-Kang Yang, Cheng-Hsien Lin
  • Patent number: 8268536
    Abstract: Systems and methods of forming an electrode on a substrate are disclosed. The methods can include applying a solution including metal ions and metal nanomaterials to a surface of a substrate. The methods further can include exposing a selected portion of the solution with light having a wavelength capable of inducing reduction of the metal ions, wherein the selected portion corresponds to at least a portion of the electrode.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: September 18, 2012
    Assignee: Korea University Research and Business Foundation
    Inventor: Kwangyeol Lee
  • Publication number: 20120231396
    Abstract: There is provided that a method for producing a resin pattern, and the method includes at least the steps (1) to (7) in this order; (1) a coating step of coating a photosensitive resin composition on a substrate; (2) a solvent removal step of removing the solvent from the applied photosensitive resin composition; (3) an exposure step of patternwise exposing the photosensitive resin composition from which the solvent has been removed, to an active radiation; (4) a development step of developing the exposed photosensitive resin composition using an aqueous developer liquid; (5) an overcoating step of providing an overcoat layer on the developed photosensitive resin composition; (6) a heat-treating step of heat-treating the photosensitive resin composition on which the overcoat layer has been provided; and (7) a removal step of removing the overcoat layer.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 13, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Takeshi ANDOU, Junichi FUJIMORI, Hiroyuki YONEZAWA, Yasumasa KAWABE, Hideyuki NAKAMURA
  • Publication number: 20120231395
    Abstract: An iterative rinse for fabrication of semiconductor devices is described. The iterative rinse includes a plurality of rinse cycles, wherein each of the plurality of rinse cycles has a different resistivity. The plurality of rinse cycles may include a first rinse of a semiconductor substrate with de-ionized (DI) water and carbon dioxide (CO2), followed by a second rinse the semiconductor substrate with DI water and CO2. The first rinse has a first resistivity; the second rinse has a second resistivity lower than the first resistivity.
    Type: Application
    Filed: March 9, 2011
    Publication date: September 13, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Yung-Yao Lee, Wei-Hong Chuang, Li-Shiuan Chen, Ping-Hsi Yang
  • Publication number: 20120229739
    Abstract: A liquid crystal display device (100) according to the present invention includes a vertical alignment type liquid crystal layer (3); and a pair of optical alignment films (12, 22). A plurality of picture elements (R, G, B, Y) each include four liquid crystal domains (D1 through D4) in which tilt directions of liquid crystal molecules when a voltage is applied are different. The four liquid crystal domains are located in a matrix of 2 rows×2 columns. The pair of optical alignment films have such an alignment regulation force that causes an identical alignment pattern to appear in repetition in the liquid crystal layer along a first direction which is parallel to one of a row direction and a column direction, with 2n pixels (n is an integer of 1 or greater) being a minimum unit.
    Type: Application
    Filed: November 16, 2010
    Publication date: September 13, 2012
    Inventors: Iichiroh Inoue, Koichi Miyachi, Hidetoshi Nakagawa, Akihiro Shohraku
  • Publication number: 20120231517
    Abstract: Methods of introducing exogenous molecules into cells including cell lines and primary cells are provided. Additionally, miniaturized electroporation-ready microwell arrays are provided. These tools provide a miniaturized high-throughput functional genomics screening platform to carry out genome-size screens in a variety of cell types.
    Type: Application
    Filed: October 13, 2010
    Publication date: September 13, 2012
    Applicant: The Scripps Research Institute
    Inventors: Enrique Saez, Tilak Jain
  • Publication number: 20120231378
    Abstract: Provided are an EUV mask blank in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, a reflective layer-equipped substrate to be used for producing the EUV mask blank, and a process for producing the reflective layer-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.
    Type: Application
    Filed: May 23, 2012
    Publication date: September 13, 2012
    Applicant: Asahi Glass Company, Limited
    Inventors: Masaki Mikami, Mitsuhiko Komakine, Yoshiaki Ikuta
  • Publication number: 20120225387
    Abstract: A method of forming a semiconductor device, including exposing a first shot to light on a semiconductor wafer, the first shot including a plurality of elongated chip patterns, the plurality of elongated chip patterns being arranged in parallel to each other and exposing a second shot to light on the semiconductor wafer, the second shot including a plurality of elongated chip patterns, so that the plurality of elongated chip patterns of the second shot and the plurality of elongated chip patterns of the first shot are arranged perpendicular to each other. The plurality of elongated chip patterns of the second shot are generated by rotating the plurality of elongated chip patterns of the first shot by 90 degrees.
    Type: Application
    Filed: May 16, 2012
    Publication date: September 6, 2012
    Applicant: Renesas Electronics Corporation
    Inventor: Takanori Yamamoto
  • Publication number: 20120223047
    Abstract: Methods of forming embedded, multilayer capacitors in printed circuit boards wherein copper or other electrically conductive channels are formed on a dielectric substrate. The channels may be preformed using etching or deposition techniques. A photoimageable dielectric is an upper surface of the laminate. Exposing and etching the photoimageable dielectric exposes the space between the copper traces. These spaces are then filled with a capacitor material. Finally, copper is either laminated or deposited atop the structure. This upper copper layer is then etched to provide electrical interconnections to the capacitor elements. Traces may be formed to a height to meet a plane defining the upper surface of the dielectric substrate or thin traces may be formed on the remaining dielectric surface and a secondary copper plating process is utilized to raise the height of the traces.
    Type: Application
    Filed: October 22, 2010
    Publication date: September 6, 2012
    Applicant: Endicott Interconnect Technologies, Inc.
    Inventors: Rabindra N. Das, Frank D. Egitto, How T. Lin, John M. Lauffer, Voya R. Markovich
  • Publication number: 20120225375
    Abstract: There are provided an EUV optical member, in which deterioration in the reflectivity due to oxidation of the Ru protective layer is prevented, a functional film-equipped substrate to be employed for production of the EUV optical member. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer has a three-layer structure wherein a first layer made of a Ru layer or a Ru compound layer, a second layer made of a Mo layer and a third layer made of a Ru layer or a Ru compound layer are laminated in this order on the reflective layer.
    Type: Application
    Filed: May 15, 2012
    Publication date: September 6, 2012
    Applicant: Asahi Glass Company, Limited
    Inventor: Masaki MIKAMI
  • Publication number: 20120217421
    Abstract: A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where a plurality of shots in the same exposure pass overlap, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed.
    Type: Application
    Filed: December 18, 2011
    Publication date: August 30, 2012
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Publication number: 20120219886
    Abstract: A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed.
    Type: Application
    Filed: December 18, 2011
    Publication date: August 30, 2012
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Publication number: 20120219897
    Abstract: Provided is a photoresist that includes a polymer is free of a aromatic group and a photo acid generator (PAG) that has less than three aromatic groups. In an embodiment, the PAG includes an anion component and a cation component. The anion component has one of the following chemical formulas: R31C—CR21—CR21—CR21—SO3? R31C—CR21—CR21—SO3? R31C—CR21—SO3? R31C—SO3? The cation component has one of the following chemical formulas: Wherein R1 and R2 each represent a chemical compound.
    Type: Application
    Filed: February 24, 2011
    Publication date: August 30, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shu-Hao Chang, Tsiao-Chen Wu, Chih-Tsung Shih
  • Patent number: 8252151
    Abstract: In a layout method of a bridging electrode, the method includes the steps of: providing a substrate; forming a transparent electro-conductive layer on the substrate and the transparent electro-conductive layer having a plurality of neighboring patterned blocks; forming an alignment film layer on the substrate and the alignment film layer having a plurality of bridging grooves of a bridging insulation unit crossing between the patterned blocks; forming an electro-conductive layer on the substrate and the electro-conductive layer having a plurality of wires respectively disposed on the bridging grooves, wherein the wires of the electro-conductive layer being formed through an optical compensation mask in conjunction with at least one of over-exposure and over-development; and forming a protection layer on the substrate to enhance optical transmission and to protect the substrate, the transparent electro-conductive layer, the alignment film layer and the electro-conductive layer.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: August 28, 2012
    Inventor: Li-Li Fan
  • Publication number: 20120214093
    Abstract: A photomask blank manufacturing method that forms, on a light-transmissive substrate, a thin film for forming a transfer pattern, thereby producing a thin-film coated substrate and then presses the thin-film coated substrate. The pressing is carried out, for example, by a cold isostatic pressing method in a range of 1000 to 10000 atmospheric pressure.
    Type: Application
    Filed: May 1, 2012
    Publication date: August 23, 2012
    Applicant: HOYA CORPORATION
    Inventor: Masaru TANABE
  • Publication number: 20120214103
    Abstract: A method for fabricating semiconductor devices with fine patterns includes the steps of providing a semiconductor substrate, forming a first photoresist layer on the semiconductor substrate, forming a second photoresist layer on the first photoresist layer, and performing an exposing process to change the state of at least one first portion of the first photoresist layer and the state of at least one second portion of the second photoresist layer. The conventional double patterning technique requires that the exposure processes be performed twice, which requires very precise alignment between the two exposure processes. In contrast, the embodiment of the present invention can perform the double patterning process with only one exposure process without requiring the precise alignment between the two exposure processes.
    Type: Application
    Filed: February 18, 2011
    Publication date: August 23, 2012
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: Ming Kang Wei, Pei Lin Huang, Yi Ming Wang, Ying Chung Tseng
  • Publication number: 20120208112
    Abstract: A before-chucking main surface shape is measured in an actual measurement region of a main surface of a substrate which has been precision-polished. Based on that shape and a shape of a mask stage (1), a simulated after-chucking main surface shape of the substrate, when a photomask (2) manufactured from the substrate is set in an exposure apparatus, is obtained. A selection is made of the substrate in which the after-chucking main surface shape has a flatness of a first threshold value or less in a virtual calculation region thereof. A calculation is performed and a selection is made of the substrate in which an after-correction main surface shape has a flatness of a second threshold value or less in the correction region.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 16, 2012
    Applicant: HOYA CORPORATION
    Inventor: Masaru TANABE
  • Publication number: 20120202140
    Abstract: According to one embodiment, a flare prediction method in photolithography includes determining a pattern density distribution of a pattern layout, determining an inclination of a variation in the pattern density distribution, and performing a flare calculation in a plurality of partition sizes based on the inclination of a variation in the pattern density distribution.
    Type: Application
    Filed: September 15, 2011
    Publication date: August 9, 2012
    Inventors: Taiga UNO, Yukiyasu ARISAWA
  • Publication number: 20120199957
    Abstract: New photoresists are provided that comprise a multi-keto component and that are particularly useful for ion implant lithography applications. Preferred photoresists of the invention can exhibit good adhesion to underlying inorganic surfaces such as SiON, silicon oxide, silicon nitride, hafnium silicate, zirconium silicate and other inorganic surfaces.
    Type: Application
    Filed: December 30, 2011
    Publication date: August 9, 2012
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Gerd POHLERS, Stefan J. Caporale
  • Publication number: 20120202142
    Abstract: A manufacturing method of a semiconductor device that produces a first mask having a first pattern including a alignment shift measuring pattern after exposure and a pattern inside a body integrated circuit, measures a position shift of the alignment shift measuring pattern after exposure and the position shift of the pattern inside the body integrated circuit to calculate a first difference, which is a difference of these position shifts, and reflects the first difference in a alignment parameter used when exposing treatment is provided to a wafer by using the first mask, and a shipment judgment method and a production method of an exposure mask.
    Type: Application
    Filed: February 2, 2012
    Publication date: August 9, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki NISHIO, Satoshi USUI
  • Publication number: 20120202156
    Abstract: A method of making an integrated circuit is provided. The method includes providing a substrate having a photosensitive layer. The photosensitive layer is exposed to a radiation beam. The exposed photosensitive layer is developed in a first chamber. In the first chamber, a cleaning process is performed on the developed photosensitive layer. The cleaning process includes using a rinse solution including at least one of ozone, hydrogen peroxide, and oxalic acid.
    Type: Application
    Filed: February 8, 2011
    Publication date: August 9, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Ming-Hsi Yeh, Yu-Fu Lin, Shao-Yen Ku, Chi-Ming Yang, Chin-Hsiang Lin
  • Publication number: 20120196208
    Abstract: Provided are a multilayer mirror for EUVL in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, and a process for its production. A multilayer mirror for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.
    Type: Application
    Filed: April 10, 2012
    Publication date: August 2, 2012
    Applicant: Asahi Glass Company, Limited
    Inventors: Masaki MIKAMI, Mitsuhiko Komakine, Yoshiaki Ikuta
  • Publication number: 20120196230
    Abstract: An initial layout of at least a portion of a given layer of an integrated circuit design is decomposed into multiple sub-layouts by splitting each of a plurality of shapes of the initial layout into multiple segments, constructing a constraint graph to represent relationships between the segments, reducing the constraint graph to a stitch graph, determining at least one cut line of the stitch graph, and generating a decomposed layout based on the determined cut line. The decomposed layout in an illustrative embodiment includes first and second sub-layouts comprising respective disjoint subsets of the segments, with each of the sub-layouts of the decomposed layout being associated with a different pattern mask of a double patterning lithography process. The layout decomposition process advantageously minimizes the number of stitches between the sub-layouts without introducing excessive computational complexity.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 2, 2012
    Applicant: International Business Machines Corporation
    Inventors: Minsik Cho, Xiaoping Tang
  • Publication number: 20120196209
    Abstract: In accordance with an embodiment of the present invention, a method for making a semiconductor device comprises forming a photo sensitive layer on a semiconductive substrate, and forming an L-shaped structure in the photo sensitive layer by exposing the photo sensitive layer to light via a reticle, wherein the reticle comprises an L-shapes feature having a first non-orthogonal edge at an intersection of two legs of the L-shaped feature.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 2, 2012
    Inventors: Henning Haffner, Martin Ostermayr
  • Patent number: 8232038
    Abstract: Demands such as higher definition, higher opening aperture, and higher reliability on a full-color flat panel display have been increased. Such demands are big objects in advancing higher definition (increase in the number of pixels) of a light-emitting device and miniaturization of each display pixel pitch with reduction in size of the light-emitting device. An organic compound-containing layer is selectively deposited using a laser beam which passes through openings of a mask. An irradiated substrate provided with a light absorption layer and a material layer containing an organic compound and a deposition substrate provided with first electrodes are placed so as to face each other. The light absorption layer is heated by a laser beam which has passed through the openings of the mask, and the organic compound at a position overlapping with the heated region is vaporized, and accordingly the organic compound is selectively deposited over the deposition substrate.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: July 31, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yoshiharu Hirakata, Hisao Ikeda, Takahiro Ibe, Shunpei Yamazaki
  • Publication number: 20120186862
    Abstract: The present invention relates to a method for manufacturing a TAB tap. The method includes forming a circuit pattern region having input/output terminal pattern on a base film, and forming an exposing region at a convey region having a sprocket hole for exposing the base film. Accordingly, the present invention provides a TAB tape that improves reliability of a product by fundamentally preventing the generation of metal particles by forming exposing regions that expose a base film through selectively etching and removing a metal layer of a convey region formed at both side of a TAB tape and having a sprocket hole, and that prevents short-circuit by partially removing a base film at a predetermined region not having a circuit pattern formed thereon through etching.
    Type: Application
    Filed: July 22, 2011
    Publication date: July 26, 2012
    Applicant: LG Innotek Co., Ltd.
    Inventors: Tae Ki Hong, Han Mo Koo, Jun Young Lim, Ki Tae Park, Sang Ki Cho, Dae Sung Yoo
  • Patent number: 8227177
    Abstract: The invention relates to a method with contrast reversal which, inter alia, opens up new areas of application for resists.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: July 24, 2012
    Assignee: Infineon Technologies AG
    Inventors: Kang-Hoon Choi, Klaus Elian, Christoph Hohle, Johannes Kretz, Frank Thrum
  • Publication number: 20120183906
    Abstract: According to a mask pattern generating method of the embodiments, an undesired pattern, which is transferred onto a substrate due to an auxiliary pattern when an on-substrate pattern is formed on the substrate by using a mask pattern in which the auxiliary pattern is placed, is extracted as an undesired transfer pattern. Then, the mask pattern is corrected by changing a size of the auxiliary pattern according to a size and a position of the undesired transfer pattern.
    Type: Application
    Filed: September 20, 2011
    Publication date: July 19, 2012
    Inventors: Chikaaki KODAMA, Toshiya Kotani, Hiromitusu Mashita, Fumiharu Nakajima
  • Patent number: 8222636
    Abstract: To provide a display device which can be manufactured with higher efficiency in the use of material through a simplified manufacturing process, and a method for manufacturing the display device. Another object is to provide a technique by which patterns of a wiring the like which constitutes the display device can be formed to a desired shape with good control. In a method for forming a pattern according to the present invention, a mask is formed over a light-transmitting substrate; a first region including a photocatalyst is formed over the substrate and the mask; the photocatalyst is irradiated with light through the substrate to modify a part of the first region; a second region is formed; and a composition containing a pattern forming material is discharged to the second region, thus, a pattern is formed. The mask does not transmit light.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: July 17, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Gen Fujii
  • Publication number: 20120176680
    Abstract: A photonic device is described that contains patterns on the backside of a transparent substrate that perform several functions, including anti-reflection coating in certain areas but not in other areas, light blocking in certain areas and not in others. The patterned layers provide improved product performance and improved radiation tolerance.
    Type: Application
    Filed: July 19, 2010
    Publication date: July 12, 2012
    Inventors: Joseph F. Ahadian, Charles B. Kuznia, Richard T. Hagan, Richard J. Pommer
  • Publication number: 20120178026
    Abstract: An imaging device comprising at least one array pattern region and at least one attenuation region. A plurality of imaging features in the at least one array pattern region and a plurality of assist features in the at least one attenuation region are substantially the same size as one another and are formed substantially on pitch. Methods of forming an imaging device and methods of forming a semiconductor device structure are also disclosed.
    Type: Application
    Filed: January 7, 2011
    Publication date: July 12, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Yuan He, Kaveri Jain, Lijing Gou, Zishu Zhang, Anton J. DeVilliers, Michael Hyatt, Jianming Zhou, Scott Light, Dan B. Millward
  • Publication number: 20120171623
    Abstract: A method for forming an optical deflection device includes providing a semiconductor substrate comprising an upper surface region and a plurality of drive devices within one or more portions of the semiconductor substrate. The upper surface region includes one or more patterned structure regions and at least one open region to expose a portion of the upper surface region to form a resulting surface region. The method also includes forming a planarizing material overlying the resulting surface region to fill the at least one open region and cause formation of an upper planarized layer using the fill material. The method further includes forming a thickness of silicon material at a temperature of less than 300° C. to maintain a state of the planarizing material.
    Type: Application
    Filed: March 12, 2012
    Publication date: July 5, 2012
    Applicant: Miradia Inc.
    Inventors: Xiao Yang, Yuxiang Wang, Wook Ji, Justin Allen Payne, Ye Wang, Howard Woo
  • Publication number: 20120171622
    Abstract: A filter reflects first light having a first wavelength, and transmits second light having a second wavelength shorter than the first wavelength. The filter includes a plurality of plate members positioned parallel to each other with gaps therebetween in a first direction. An enveloping surface formed by end surfaces of the plurality of plate members forms a flat surface, which is nonparallel to the first direction. The filter transmits the second light to the second direction.
    Type: Application
    Filed: December 20, 2011
    Publication date: July 5, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Naoya IIZUKA, Fumitaro MASAKI, Akira MIYAKE
  • Publication number: 20120171624
    Abstract: Disclosed herein is a printed circuit board for an optical waveguide, including a base board, and an optical waveguide formed on the base board. The optical waveguide includes a lower clad layer formed on the base board, an insulation layer formed on the lower clad layer and having a core-forming through-hole, a core part formed on a region of the lower clad layer, which is exposed through the through-hole, and an upper clad layer formed in the through-hole and on the insulation layer.
    Type: Application
    Filed: March 14, 2012
    Publication date: July 5, 2012
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Joon Sung KIM, Sang Hoon Kim, Jae Hyun Jung, Han Seo Cho
  • Publication number: 20120162590
    Abstract: A liquid crystal display device includes a first substrate, a first electrode on the first substrate, a second substrate opposed to the first substrate, and a second electrode on the second substrate. The second electrode corresponds to the first electrode. The liquid crystal display device also includes a liquid crystal structure between the first electrode and the second electrode. The liquid crystal structure includes a plurality of liquid crystal molecules and at least one movement control member. The movement control member in the liquid crystal structure restricts a movement of the liquid crystal molecules.
    Type: Application
    Filed: June 21, 2011
    Publication date: June 28, 2012
    Inventors: Gee-Bum Kim, Jae-Hyun Kim, Won-Sang Park, Jae-Ik Lim, Yong-Seok Yeo, Jong-In Baek
  • Publication number: 20120156596
    Abstract: A mask blank for use in the manufacture of a transfer mask adapted to be applied with ArF excimer laser exposure light is disclosed. The mask blank has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has an at least two-layer structure including a lower layer and an upper layer from the transparent substrate side. The lower layer is made of a material composed of a transition metal, silicon, and nitrogen and having a nitrogen content of 21 at % or more and a refractive index n of 1.9 or less. The upper layer is made of a material composed of a transition metal, silicon, and nitrogen and having a refractive index n of 2.1 or less. A surface layer of the upper layer contains oxygen and has a nitrogen content of 14 at % or more.
    Type: Application
    Filed: December 15, 2011
    Publication date: June 21, 2012
    Applicant: HOYA CORPORATION
    Inventors: Atsushi KOMINATO, Masahiro HASHIMOTO, Hiroyuki IWASHITA
  • Publication number: 20120156881
    Abstract: A method includes depositing a material layer over a semiconductor substrate and using a first mask in a first exposure/patterning process to pattern the material layer thereby forming a plurality of first and second features. The first features include patterns for the semiconductor device and the second features include printing assist features. The method includes using a second mask in a second exposure/patterning process to effectively remove the second features from the material layer and to define at least one separating structure between two first features.
    Type: Application
    Filed: December 20, 2010
    Publication date: June 21, 2012
    Applicant: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventor: Henning Haffner
  • Publication number: 20120154800
    Abstract: A method for producing planar extended electrodes with nanoscale spacings that exhibit very large SERS signals, with each nanoscale gap having one well-defined hot spot. The resulting highly sensitive substrate has extended metal electrodes separated by a nanoscale gap. The electrodes act as optical antennas to enhance dramatically the local electromagnetic field for purposes of spectroscopy or nonlinear optics. SERS response is consistent with a very small number of molecules in the hotspot, showing blinking and wandering of Raman lines. Sensitivity is sufficiently high that SERS from physisorbed atmospheric contaminants may be detected after minutes of exposure to ambient conditions.
    Type: Application
    Filed: February 12, 2008
    Publication date: June 21, 2012
    Inventors: Douglas Natelson, Daniel Robert Ward, Zachary Kyle Keane