Named Electrical Device Patents (Class 430/319)
  • Publication number: 20120156623
    Abstract: A semiconductor device manufacturing method which improves exposure characteristics. The method includes the step of making preparations for use of an exposure apparatus. The apparatus includes a light emitting unit with a first electrode and a second electrode for generating EUV light, a heating light source for heating the first electrode and the second electrode, and an exposure unit for projecting the EUV light on a substrate through a mask. The method also includes the following steps: heating the first electrode and the second electrode by the heating light source; after the heating step, applying a voltage between the first electrode and the second electrode and generating EUV light by plasma excitation of predetermined atoms; and leading the EUV light into the exposure unit and making an exposure on a photosensitive film formed over the substrate inside the exposure unit.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 21, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Seiichiro SHIRAI
  • Patent number: 8202684
    Abstract: An embodiment of the invention provides a method for manufacturing a probe sheet in which a probe tip can be arranged at a predetermined accurate position without the need for troublesome positional adjustment operations of the probe tip in coupling operations of each contactor and a probe sheet main body. It is a method for manufacturing a probe sheet comprising a probe sheet main body having conductive paths and a plurality of contactors formed to be protruded from one surface of the probe sheet main body and connected to the conductive paths.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: June 19, 2012
    Assignee: Kabushiki Kaisha Nihon Micronics
    Inventors: Kazuhito Hamada, Takashi Akiniwa, Satoshi Narita
  • Publication number: 20120148960
    Abstract: A method of manufacturing a printed circuit board, including: applying a conductive paste including carbon nanotubes and a photosensitive binder on a bump-forming area of a circuit substrate having a circuit layer for transferring electrical signals; and patterning the conductive paste, thus forming bumps.
    Type: Application
    Filed: February 23, 2012
    Publication date: June 14, 2012
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Jee Soo Mok, Je Gwang Yoo, Eung Suek Lee, Chang Sup Ryu
  • Publication number: 20120148942
    Abstract: Mask or reticle methods and structures having pattern feature segments formed at oblique angles to each other. When illuminated using off-axis illumination techniques, a mask or reticle according to the present teachings can result in a more accurately reproduced feature within a photosensitive layer.
    Type: Application
    Filed: December 13, 2010
    Publication date: June 14, 2012
    Inventor: James Walter Blatchford
  • Publication number: 20120141943
    Abstract: Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings.
    Type: Application
    Filed: February 8, 2012
    Publication date: June 7, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Scott Sills, Gurtej S. Sandhu, John Smythe, Ming Zhang
  • Publication number: 20120141944
    Abstract: A method for manufacturing a semiconductor device including: forming a resist film 11 on a film to be processed 32; baking the resist film 11; performing immersion exposure on the resist film 11 after the baking; performing post exposure bake on the resist film 11 after performing the immersion exposure; developing the resist film 11 after performing the post exposure bake; and after the post exposure bake is performed on the resist film 11, removing an edge 15 of the resist film 11, the edge not being exposed.
    Type: Application
    Filed: February 16, 2012
    Publication date: June 7, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Katsutoshi Kobayashi
  • Publication number: 20120135341
    Abstract: A method for double patterning lithography which is applied to a semiconductor substrate to form a plurality of trenches, includes a pattern formation process. In the pattern formation process, a plurality of predetermined patterns corresponding to the trenches are formed by using a graphic data system. A first pattern file and second pattern file are respectively formed. The first pattern file and the second pattern file respectively include a plurality of first patterns and a plurality of second patterns. The first patterns and the second patterns are intersected with each other to define a plurality of overlapped regions corresponding to the predetermined patterns. At least one of the first pattern file and the second file includes a plurality of dummy patterns therebeside. A photomask layout for double patterning lithography is also provided.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 31, 2012
    Inventor: Yao-Ching Tseng
  • Publication number: 20120135356
    Abstract: A semiconductor device includes a memory cell array area, a peripheral circuit area on a periphery of the memory cell array area, and a boundary area having a specific width between the memory cell array area and the peripheral circuit area, the memory cell array area including a cell area including nonvolatile semiconductor memory cells, linear wirings extending from inside of the cell area to an area outside the cell area, and lower layer wirings in a lower layer than the linear wirings in the boundary area and electrically connected to the linear wirings, and wiring widths of the lower layer wirings being larger than widths of the linear wirings, the peripheral circuit area including a patterns electrically connected to the linear wirings via the lower layer wirings, the boundary area failing to be provided with the linear wirings and a wiring in same layer as the linear wirings.
    Type: Application
    Filed: January 27, 2012
    Publication date: May 31, 2012
    Inventors: Makoto Sakuma, Takuya Futatsuyama
  • Patent number: 8187795
    Abstract: Described herein are processing techniques for fabrication of stretchable and/or flexible electronic devices using laser ablation patterning methods. The laser ablation patterning methods utilized herein allow for efficient manufacture of large area (e.g., up to 1 mm2 or greater or 1 m2 or greater) stretchable and/or flexible electronic devices, for example manufacturing methods permitting a reduced number of steps. The techniques described herein further provide for improved heterogeneous integration of components within an electronic device, for example components having improved alignment and/or relative positioning within an electronic device. Also described herein are flexible and/or stretchable electronic devices, such as interconnects, sensors and actuators.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: May 29, 2012
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Kanti Jain, Kevin Lin
  • Publication number: 20120129102
    Abstract: A material for a planarization film, a spacer, and a microlens that satisfies heat resistance and transparency requirements without impairing a refractive index. A negative photosensitive composition includes a photopolymerizable polymer (A) having a fluorene skeleton, a monomer (B) having a fluorene skeleton and a photopolymerization initiator (C). The photopolymerizable polymer (A) having a fluorene skeleton may include a moiety that is soluble in an alkaline developer solution, or a unit structure of Formula (1): herein each R1 is independently a C1-10 alkyl group or a halogen atom; each L is an integer of 0 to 4; X is an organic group having an unsaturated bond at a terminal thereof; and Y is a linking group including a portion that is obtained by removing an acid radical from a tetracarboxylic dianhydride.
    Type: Application
    Filed: April 20, 2010
    Publication date: May 24, 2012
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Hiroyuki Soda, Takahiro Sakaruchi, Shojiro Yuwaka
  • Publication number: 20120115075
    Abstract: A mask blank for use in the manufacture of a transfer mask adapted to be applied with ArF exposure light is disclosed. The mask blank has a light-shielding film on a transparent substrate. The light-shielding film has an at least two-layer structure comprising a lower layer composed mainly of a material containing a transition metal, silicon, and nitrogen and an upper layer composed mainly of a material containing a transition metal, silicon, and nitrogen. The ratio of the etching rate of the lower layer to that of the upper layer is 1.0 or more and 5.0 or less in etching which is carried out by supplying a fluorine-containing substance to a target portion and irradiating charged particles to the target portion.
    Type: Application
    Filed: November 3, 2011
    Publication date: May 10, 2012
    Applicant: HOYA CORPORATION
    Inventors: Atsushi KOMINATO, Osamu NOZAWA, Hiroyuki IWASHITA, Masahiro HASHIMOTO
  • Patent number: 8173335
    Abstract: Provided are beam ablation lithography methods capable of removing and manipulating material at the nanoscale. Also provided are nanoscale devices, nanogap field effect transistors, nano-wires, nano-crystals and artificial atoms made using the disclosed methods.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: May 8, 2012
    Assignee: The Trustees of the University of Pennsylvania
    Inventors: Marija Drndic, Michael D Fischbein
  • Publication number: 20120107563
    Abstract: There is provided a method for producing a pattern, and the method includes forming a film by removing the solvent from a photosensitive resin composition containing (Component A) a polymer including a monomer unit (a1) having a residue of a carboxyl group or a phenolic hydroxyl group protected with an acid-decomposable group, and a monomer unit (a2) having an epoxy group and/or an oxetanyl group; (Component B) a photo acid generator; and (Component C) a solvent; exposing the film patternwise to an active radiation; developing the exposed film with an aqueous developer liquid to form a pattern; and baking the pattern by heating.
    Type: Application
    Filed: November 1, 2011
    Publication date: May 3, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroyuki YONEZAWA, Katsuhiro SHIMONO
  • Publication number: 20120107733
    Abstract: Provision of a reflective mask blank for EUV lithography having an absorber layer having optical constants suitable for reducing the film thickness. A reflective mask blank for EUV lithography comprising a substrate and a reflective layer for reflecting EUV light and an absorber layer for absorbing EUV light, that are formed in this order on the substrate; wherein the absorber layer contains at least one element selected from the group consisting of molybdenum (Mo), tin (Sn), silver (Ag), niobium (Nb) and titanium (Ti), and the absorber layer further contains palladium (Pd).
    Type: Application
    Filed: January 9, 2012
    Publication date: May 3, 2012
    Applicant: Asahi Glass Company, Limited
    Inventors: Kazuyuki HAYASHI, Toshiyuki UNO
  • Patent number: 8168372
    Abstract: Novel, developer-soluble, hard mask compositions and methods of using those compositions to form microelectronic structures are provided. The composition comprises the compound a compound for controlling development rate, and a crosslinking agent in a solvent system. The methods involve applying the composition to a substrate and curing the composition. An imaging layer is applied to the composition, followed by light exposure and developing, during which the light-exposed portions of the imaging layer are removed, along with portions of the hard mask composition adjacent said light-exposed portions. The size of the hard mask composition structures are controlled by the development rate, and they yield feature sizes that are a fraction of the imaging layer feature sizes, to give a pattern that can ultimately be transferred to the substrate.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: May 1, 2012
    Assignee: Brewer Science Inc.
    Inventor: Sam X. Sun
  • Publication number: 20120097435
    Abstract: Disclosed are: a photosensitive modified polyimide resin composition having photo-fabrication property, which is excellent in the electric properties and adhesion as well as in the heat resistance, flexibility, bending property, low warping, chemical resistance and storage stability; a resin film formed from the composition; and a printed circuit board, flexible printed circuit board (FPC) and the like which comprises the film as an insulating protective film and/or interlayer insulation film. The photosensitive modified polyimide resin composition comprises a modified polyimide of a specific structure having a flexible structure such as polycarbonate; a photosensitizer; a curing agent; and a solvent.
    Type: Application
    Filed: April 30, 2010
    Publication date: April 26, 2012
    Applicant: PI R&D CO., LTD.
    Inventors: Toshiyuki Goshima, Maw Soe Win, Sigemasa Segawa, Eika Kyo
  • Publication number: 20120100464
    Abstract: To provide a glass substrate-holding tool which is capable of avoiding scratching to the deposition surface of a glass substrate and dusting thereby caused as well as scratching and deposition of foreign substances at a center portion of the rear surface of the substrate and which is capable of suppressing dusting from the holding tool itself at the time of forming a multi-layered reflection film and an absorptive layer. A glass substrate-holding tool having, formed on a surface of a flat base, a catching portion for catching and holding by van der Waals forces, wherein the catching portion is in contact with only the periphery of the glass substrate.
    Type: Application
    Filed: October 21, 2010
    Publication date: April 26, 2012
    Applicant: Asahi Glass Company, Limited
    Inventor: Junichi KAGEYAMA
  • Publication number: 20120100468
    Abstract: A method of correcting patterns includes attaining a correcting amount distribution map using a photo mask, the photo mask including a transparent substrate having first and second surfaces opposite to each other and a mask pattern on the first surface, attaining a plurality of shadowing maps based on the correction amount distribution map, each of the shadowing maps including a unit section having a different plane area, and forming a plurality of shadowing regions with shadowing elements in the transparent substrate of the photo mask using respective shadowing maps.
    Type: Application
    Filed: December 30, 2011
    Publication date: April 26, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: MyoungSoo LEE, Byunggook Kim
  • Patent number: 8163463
    Abstract: A photoresist composition including an oxetane-containing compound represented by Formula 1 or 2, an oxirane-containing compound represented by Formula 3 or 4, a photoinitiator, and a solvent, a method of forming a pattern using the photoresist composition, and an inkjet print head including a polymerization product of the photoresist composition. The photoresist composition provides a polymerization product which resists formation of cracks due to an inner stress, and has excellent heat tolerance, excellent chemical resistance, excellent adhesiveness, and excellent durability.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: April 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-baek Kim, Byung-ha Park, Kyu-sik Kim, Young-ung Ha, Su-min Kim
  • Publication number: 20120092607
    Abstract: The disclosed technology provides a TFT-LCD pixel electrode layer structure comprising: a pixel electrode pattern corresponding to a display region of a liquid crystal panel; a peripheral region pattern corresponding to a non-display region of the liquid crystal panel; and a periphery filling pattern in a portion of the non-display region where no peripheral region pattern is formed. The disclosed technology may be applied to manufacture of a liquid crystal display. The disclosed technology further provides a method for forming a TFT-LCD pixel electrode layer structure and a mask therefor.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 19, 2012
    Applicant: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Guanbao HUI
  • Publication number: 20120091592
    Abstract: A method of forming an integrated circuit structure includes forming a first and a second plurality of tracks parallel to a first direction and on a wafer representation. The first and the second plurality of tracks are allocated in an alternating pattern. A first plurality of patterns is laid out on the first plurality of tracks and not on the second plurality of tracks. A second plurality of patterns is laid out on the second plurality of tracks and not on the first plurality of tracks. The first plurality of patterns is extended in the first direction and in a second direction perpendicular to the first direction, so that each of the second plurality of patterns is surrounded by portions of the first plurality of patterns, and substantially none of neighboring ones of the first plurality of patterns on the wafer representation have spacings greater than a pre-determined spacing.
    Type: Application
    Filed: October 19, 2010
    Publication date: April 19, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Ken-Hsien Hsieh, Tsong-Hua Ou, Fang-Yu Fan, Yuan-Te Hou, Ming-Feng Shieh, Ru-Gun Liu, Lee-Chung Lu
  • Publication number: 20120088195
    Abstract: A method for producing a liquid crystal display device comprising a pair of spaced and opposed substrates, an electrode and an alignment layer formed on one substrate, an electrode and an alignment layer formed on the other substrate, and a liquid crystal filled between the pair of substrates. The method includes the step of realizing an alignment with a pretilt angle, by irradiating the alignment layer exhibiting a vertically orienting property with ultraviolet rays in an inclined direction through a mask having an opening, wherein the ultraviolet rays are simultaneously irradiated onto a first region of a display portion through the one opening of said mask and onto a second region of the display portion through the one opening of the mask.
    Type: Application
    Filed: December 15, 2011
    Publication date: April 12, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Hidefumi Yoshida, Tsutomu Seino, Yasutoshi Tasaka
  • Publication number: 20120088194
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer.
    Type: Application
    Filed: October 27, 2011
    Publication date: April 12, 2012
    Applicant: FUJIFILM Corporation
    Inventor: Hideaki TSUBAKI
  • Patent number: 8153353
    Abstract: A method and a material for creating an antireflective coating on an integrated circuit. A preferred embodiment comprises applying a dark polymer material on a reflective surface, curing the dark polymer material, and roughening a top surface of the dark polymer material. The roughening can be achieved by ashing the dark polymer material in an ash chamber. The dark polymer material, preferably a black matrix resin or a polyimide black matrix resin, when ashed in an oxygen rich atmosphere for a short period of time, forms a surface that is capable of absorbing light as well as randomly refracting light it does not absorb. A protective cap layer may be formed on top of the ashed dark polymer material to provide protection for the dark polymer material.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: April 10, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Jason Michael Neidrich
  • Patent number: 8153352
    Abstract: A process for forming a pixel circuit is disclosed comprising: (a) providing a transparent support; (b) forming a multicolor mask having at least four different color patterns; (c) forming integrated electronic components of the pixel circuit having at least four layers of patterned functional material comprising a first conductor, a dielectric, a semiconductor, and a second conductor each layer of patterned functional material corresponding to the four different color patterns of the multicolor mask. The functional material is patterned using a photopattern corresponding to each color pattern.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: April 10, 2012
    Assignee: Eastman Kodak Company
    Inventors: Lyn M. Irving, David H. Levy, Lan B. Thai
  • Publication number: 20120082940
    Abstract: Provided is a non-transitory computer readable medium including instructions to generate a level sensor map and create a compensation map from the level sensor map. The level sensor map includes a first determination of a first height above a reference plane of a feature disposed on a semiconductor substrate, and a second determination of a second height above the reference plane of a second feature disposed on a semiconductor substrate. The first and second feature are in a single exposure field. The compensation map includes a determination of at least one parameter to be used during exposure of a single field during an exposure process for the semiconductor substrate.
    Type: Application
    Filed: December 14, 2011
    Publication date: April 5, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Vincent Yu, Hsien-Cheng Wang, Hung-Chang Hsieh
  • Publication number: 20120082924
    Abstract: A mask blank for use in the manufacture of a binary mask adapted to be applied with ArF excimer laser exposure light has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for exposure light and a thickness of 45 nm or less. The lower layer is made of a material in which the total content of a transition metal and silicon is 90 at % or more, and has a thickness of 30 nm or more. The upper layer has a thickness of 3 nm or more and 6 nm or less. The phase difference between exposure light transmitted through the light-shielding film and exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 30 degrees or less.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 5, 2012
    Applicant: HOYA CORPORATION
    Inventors: Atsushi Kominato, Masahiro Hashimoto, Osamu Nozawa
  • Publication number: 20120082939
    Abstract: An active light ray sensitive or radioactive ray sensitive resin composition which satisfies high sensitivity, high resolution, good pattern configuration, and good line edge roughness at the same time to a great extent, while having sufficiently good outgassing performance during exposure, and an active light ray sensitive or radioactive ray sensitive film formed by using the composition, and a pattern-forming method, are provided. The active light ray sensitive or radioactive ray sensitive resin composition according to the present invention includes a resin (P) containing a repeating unit (A) which decomposes by irradiation with active light ray or radioactive ray to generate an acid, and a repeating unit (C) containing a primary or secondary hydroxyl group.
    Type: Application
    Filed: October 4, 2011
    Publication date: April 5, 2012
    Applicant: FUJIFILM Corporation
    Inventors: Takeshi KAWABATA, Hidenori Takahashi, Tomotaka Tsuchimura, Shuji Hirano, Hideaki Tsubaki
  • Publication number: 20120077122
    Abstract: A pattern forming method includes: (i) forming a film from a chemical amplification resist composition that contains (A) a resin, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a tertiary alcohol; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent.
    Type: Application
    Filed: September 26, 2011
    Publication date: March 29, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Kaoru IWATO, Keita KATO
  • Patent number: 8142250
    Abstract: A method of forming a color filter touch sensing substrate integrates touch-sensing structures/elements of a touch panel into the inner side of the color filter substrate, which faces a thin film transistor substrate, and forms patterned assistant electrodes on the surfaces of the transparent sensing pads for decreasing the equivalent resistance of the touch-sensing structures/elements. Moreover, since an adjacent transparent conductive layer and an assistant electrode layer are patterned to form the transparent sensing pads and the patterned assistant electrodes, a simplified pattern-transferring process can be applied to the transparent sensing pads and the patterned assistant electrodes, or bridge structures can be formed from the assistant electrode layer for electrically connecting between some transparent sensing pads. Therefore, the forming process is simplified.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: March 27, 2012
    Assignee: AU Optronics Corp.
    Inventors: Yu-Feng Chien, Chau-Shiang Huang, Tun-Chun Yang, Seok-Lyul Lee
  • Publication number: 20120070613
    Abstract: Stabilized precursor solutions can be used to form radiation inorganic coating materials. The precursor solutions generally comprise metal suboxide cations, peroxide-based ligands and polyatomic anions. Design of the precursor solutions can be performed to achieve a high level of stability of the precursor solutions. The resulting coating materials can be designed for patterning with a selected radiation, such as ultraviolet light, x-ray radiation or electron beam radiation. The radiation patterned coating material can have a high contrast with respect to material properties, such that development of a latent image can be successful to form lines with very low line-width roughness and adjacent structures with a very small pitch.
    Type: Application
    Filed: October 28, 2011
    Publication date: March 22, 2012
    Inventors: Jason K. Stowers, Alan J. Telecky, Douglas A. Keszler, Andrew Grenville
  • Publication number: 20120064440
    Abstract: In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage information such as dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image.
    Type: Application
    Filed: November 20, 2011
    Publication date: March 15, 2012
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Lance Glasser, Harold Robert Zable
  • Patent number: 8135248
    Abstract: An opto-electric hybrid board which includes an optical waveguide portion 2, an electric circuit board 1, and optical elements mounted on this electric circuit board 1. In the optical waveguide portion 2, a linear core 22 for an optical path and protruding alignment marks 24 for positioning of the optical elements and each having a surface formed with a recessed portion 24a for identification are formed on a surface of a translucent under cladding layer 21. The above-mentioned core 22 is covered with an over cladding layer 23. The above-mentioned alignment marks 24 are covered with a translucent resin film 25 so that the recessed portion 24a of each of the above-mentioned alignment marks 24 is formed as a hollow portion A filled with air.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: March 13, 2012
    Assignee: Nitto Denko Corporation
    Inventor: Masayuki Hodono
  • Publication number: 20120058421
    Abstract: A phase shift mask is provided which includes: a substrate that is transparent to irradiation light, a shielding region formed on the substrate and in which a line pattern is formed, and a first transparent region and a second transparent region located on respective opposite sides of the shielding region on the substrate, wherein a phase shifter is formed under the first transparent region, and the phase shifter has a side wall including an outward protruding bent portion. The phase shifter can be formed by, for example, irradiating and scanning a predetermined region of the substrate with femtosecond pulse laser light applied from above the substrate.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 8, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Masahito HIROSHIMA
  • Publication number: 20120052419
    Abstract: A photomask is provided which can have a large depth of focus even if four main features are annularly arranged at random. The photomask has four annularly arranged main features based on design information of a circuit feature to be formed on a wafer, and a sub-feature is laid at an intersection point of two diagonal lines of a quadrangle formed by four vertices inside the four main features in order to increase a depth of focus of an exposure feature. Therefore, the depth of focus can be increased even if the main features are not arranged at a constant pitch.
    Type: Application
    Filed: July 21, 2011
    Publication date: March 1, 2012
    Inventors: Ayumi MINAMIDE, Mitsuru Okuno, Akemi Moniwa, Manabu Ishibashi
  • Publication number: 20120052417
    Abstract: In various embodiments of the present invention a circuit apparatus having a rounded trace, a method to manufacture the circuit apparatus, and a design structure used in the design, testing, or manufacturing of the circuit apparatus are described. An artwork layer having an adaptable-mask section allows a graded amount of light to pass into an underlying photoresist layer. Subsequent to developing the photoresist layer, the graded amount of light creates a rounded geometric void used as a mold or sidewall for the creation of at least a portion of a rounded trace. The photoresist layer is removed resulting in a circuit apparatus having a rounded trace.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew S. Doyle, Joseph Kuczynski, Kevin A. Splittstoesser, Timothy J. Tofil
  • Publication number: 20120048600
    Abstract: A first artwork layer having a first adaptable-mask section allows a graded amount of light to pass into an underlying first photoresist layer. Subsequent to developing the first photoresist layer, the graded amount of light creates a rounded geometric void used as a mold or sidewall for the creation of at least a lower portion of a rounded trace. A dielectric layer is laminated upon the lower portion and a second artwork layer having an second adaptable-mask section allows a graded amount of light to pass into a second photoresist layer. Subsequent to developing the second photoresist layer, the graded amount of light creates a rounded geometric void used as a mold or sidewall for the creation of at least an upper portion of a rounded trace. The photoresist and dielectric layers are removed resulting in a circuit apparatus having a rounded differential pair trace.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew S. Doyle, Joseph Kuczynski, Kevin A. Splittstoesser, Timothy J. Tofil
  • Patent number: 8123986
    Abstract: A plasma display device and a method of manufacturing a plasma display panel (PDP) are provided. The method includes applying onto a substrate a black matrix paste for forming a black matrix and an electrode paste for forming an electrode; laminating a dielectric material on the substrate; and firing the black matrix paste, the electrode paste, and the dielectric material at the same time. Therefore, it is possible to simplify the manufacture of a PDP by firing electrodes, black matrices, and a dielectric material at the same time. In addition, it is possible to reduce the probability of the generation of air bubbles by appropriately reducing the amount of glass frit in a paste. Moreover, it is possible to enhance the efficiency of driving a PDP and the reliability of a plasma display device.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: February 28, 2012
    Assignee: LG Electronics Inc.
    Inventors: Jung Sok Noh, Young Woo Seo, Chang Min Han
  • Publication number: 20120045712
    Abstract: Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A planarizing process is provided to remove the absorber layer above the top surface of the hard mask layer and form an absorber in the opening, wherein the absorber is substantially co-planar with the top surface of the hard mask layer.
    Type: Application
    Filed: August 17, 2010
    Publication date: February 23, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ching-Hsu CHANG, Hung-Chun WANG, Boren LUO, Wen-Chun HUANG, Ru-Gun LIU
  • Patent number: 8119322
    Abstract: A method for forming a self-aligned pattern on an existing pattern on a substrate comprising applying a coating of a solution containing a masking material in a carrier, the masking material being either photo or thermally sensitive; performing a blanket exposure of the substrate; and allowing at least a portion of the masking material to preferential develop in a fashion that is replicates the existing pattern of the substrate. The existing pattern may be comprised of a first set of regions of the substrate having a first reflectivity and a second set of regions of the substrate having a second reflectivity different from the first composition. The first set of regions may include one or more metal elements and the second set of regions may include a dielectric. Structures made in accordance with the method.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, Matthew E. Colburn, Elbert Huang, Muthumanickam Sankarapandian
  • Publication number: 20120040292
    Abstract: A transfer method and a transfer apparatus capable of making the shape and quality of a transferred layer uniform and a method of manufacturing an organic light emitting element. A transfer method includes a step of disposing a transfer substrate and an acceptor substrate so as to face each other, a transfer layer being provided on the transfer substrate, and a plurality of areas being arranged in the acceptor substrate, and transferring the transfer layer to the plurality of areas by emitting a radiation ray from the transfer substrate side. The radiation ray is shaped in a band shape, and a short-axis width in a center portion in a long-axis direction of the radiation ray is set to be larger than that in an end portion.
    Type: Application
    Filed: October 25, 2011
    Publication date: February 16, 2012
    Applicant: SONY CORPORATION
    Inventor: Kohji Hanawa
  • Publication number: 20120040276
    Abstract: A method of forming a photolithography mask including forming a first linear non-dense feature on the mask and forming a plurality of parallel linear assist features disposed substantially perpendicular to the at least one linear non-dense design feature. In an embodiment, the photolithography mask further includes a first transverse linear assist feature disposed substantially transverse to the plurality of parallel linear assist features.
    Type: Application
    Filed: October 20, 2011
    Publication date: February 16, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Yung-Sung Yen, Kuei Shun Chen, Chien-Wen Lai, Cherng-Shyan Tsay
  • Publication number: 20120040293
    Abstract: A reflective mask comprising: a reflective layer that is arranged on a surface on a side on which EUV light is irradiated and reflects the EUV light; a buffer layer containing Cr that is arranged on a side of the reflective layer on which the EUV light is irradiated and covers an entire surface of the reflective layer; and a non-reflective layer that is arranged on a side of the buffer layer on which the EUV light is irradiated and in which an absorber that absorbs the irradiated EUV light is arranged in a position corresponding to a mask pattern to be reduced and transferred onto a wafer.
    Type: Application
    Filed: October 27, 2011
    Publication date: February 16, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Ryoichi Inanami, Yumi Nakajima, Masamitsu Itoh
  • Publication number: 20120040290
    Abstract: The present invention relates to a photosensitive resin composition comprising (A) a binder polymer, (B) a photopolymerizable compound having an ethylenically unsaturated bond and (C) a photopolymerization initiator, wherein the (C) photopolymerization initiator comprises a compound represented by the following general formula (1). In formula (1), R1 represents a halogen atom, an amino group, a carboxyl group, a C1-6 alkyl group, a C1-6 alkoxy group or a C1-6 alkylamino group and m represents an integer of 1 to 5.
    Type: Application
    Filed: February 23, 2010
    Publication date: February 16, 2012
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Yoshiki Ajioka, Mitsuru Ishi, Junichi Iso, Manami Usuba
  • Publication number: 20120034554
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of shots of circular or nearly-circular character projection characters, having at least two shots that overlap, can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular character projection shots, where at least two shots overlap, is also disclosed.
    Type: Application
    Filed: October 16, 2011
    Publication date: February 9, 2012
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Michael Tucker
  • Publication number: 20120028192
    Abstract: According to one embodiment, a control method for an exposure apparatus is disclosed. The method can include retrieving, from a database, a correction amount of alignment correction at a time of exposure of a wafer and an inclination amount of a wafer stage with respect to an optical axis of an exposure optical system at the time of exposure. The method can include making a determination on the inclination amount based on a predetermined condition. The method can include making a determination on the correction amount based on the predetermined condition. In addition, the method can include issuing an alarm when the inclination amount and the correction amount both satisfy the condition.
    Type: Application
    Filed: July 29, 2011
    Publication date: February 2, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Kenichi TSUJISAWA, Hiroshi Matsushita, Takayoshi Otake, Hideyuki Oishi, Akira Ogawa, Koji Washiyama
  • Publication number: 20120024816
    Abstract: A method for fabricating a touch sensor panel is disclosed. The method includes providing a substrate for the touch sensor panel, depositing a conductive material layer on a top surface of the substrate, depositing a metal layer on top of the conductive material layer, affixing a resist to a first area of the metal layer, the resist also adapted to serve as a passivation layer during passivation, removing metal from the metal layer outside of the first area; and performing passivation on the substrate while leaving the affixed resist intact.
    Type: Application
    Filed: July 30, 2010
    Publication date: February 2, 2012
    Inventors: Lili Huang, Siddharth Mohapatra, John Z. Zhong
  • Publication number: 20120028174
    Abstract: A method includes performing a lithography process on a wafer to form a patterned photo resist, and measuring the wafer to determine an overlay error of the patterned photo resist. A high/low specification is determined using the overlay error. An overlay process value setting is generated and compared with the high/low specification to determine whether the overlay process value setting is within a range defined by the high/low specification.
    Type: Application
    Filed: July 27, 2010
    Publication date: February 2, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shin-Rung Lu, Chih Ming Hong, Yen-Di Tsen
  • Publication number: 20120028191
    Abstract: The invention relates azide functionalized poly(3-hexylthiophene)s. Various azide functionalized poly(3-hexylthiophene)s and intermediates are disclosed and described, as well as method for making novel monomers that are synthesized and transformed into P3HT-Nmp for use as organic conducting polymers in organic photovoltaic devices.
    Type: Application
    Filed: July 29, 2011
    Publication date: February 2, 2012
    Applicant: Brookhaven Science Associates, LLC
    Inventors: Yang Qin, Robert B. Grubbs, Young Suk Park
  • Publication number: 20120021359
    Abstract: A liquid immersion lithography upper-layer film-forming composition includes (A) a polymer that includes a structural unit (I) shown by the following formula (1), and (S) a solvent. R1 in the formula (1) represents a hydrogen atom, a methyl group, or a trifluoromethyl group. The polymer (A) preferably further includes a structural unit (II) that includes a sulfo group. The polymer (A) preferably further includes a structural unit (III) shown by the following formula (3). R2 in the formula (3) represents a hydrogen atom, a methyl group, or a trifluoromethyl group. R3 represents a linear or branched monovalent hydrocarbon group having 1 to 12 carbon atoms or a monovalent alicyclic group having 3 to 20 carbon atoms, provided that at least one hydrogen atom of the hydrocarbon group or the alicyclic group is substituted with a fluorine atom.
    Type: Application
    Filed: May 18, 2011
    Publication date: January 26, 2012
    Applicant: JSR Corporation
    Inventors: Takahiro HAYAMA, Kazunori Kusabiraki, Yukio Nishimura, Ken Maruyama, Kiyoshi Tanaka