Finishing Or Perfecting Composition Or Product Patents (Class 430/331)
  • Patent number: 8673539
    Abstract: A photosensitive adhesive composition comprising: (A) a polyimide having a carboxyl group as a side chain, whereof the acid value is 80 to 180 mg/KOH; (B) a photo-polymerizable compound; and (C) a photopolymerization initiator.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: March 18, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Takashi Kawamori, Takashi Masuko, Shigeki Katogi, Masaaki Yasuda
  • Patent number: 8663898
    Abstract: There is disclosed A resist underlayer film composition, wherein the composition contains a polymer obtained by condensation of, at least, one or more compounds represented by the following general formulae (1-1) and/or (1-2), one or more kinds of a compound represented by the following general formula (2), and one or more kinds of a compound, represented by the following general formula (3), and/or an equivalent body thereof. There can be provided an underlayer film composition, especially for a trilayer resist process, that can form an underlayer film having reduced reflectance, (namely, an underlayer film having optimum n-value and k-value as an antireflective film), excellent filling-up properties, high pattern-antibending properties, and not causing line fall or wiggling after etching especially in a high aspect line that is thinner than 60 nm, and a patterning process using the same.
    Type: Grant
    Filed: December 5, 2011
    Date of Patent: March 4, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takeru Watanabe, Yusuke Biyajima, Daisuke Kori, Takeshi Kinsho, Toshihiko Fujii
  • Patent number: 8663904
    Abstract: Embodiments in accordance with the present invention provide for non-self imageable norbornene-type polymers useful for immersion lithographic processes, methods of making such polymers, compositions employing such polymers and immersion lithographic processes that make use of such compositions. More specifically the embodiments of the present invention are related to norbornene-type polymers useful for forming top-coat layers for overlying photoresist layers in immersion lithographic process and the process thereof.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: March 4, 2014
    Assignee: Promerus, LLC
    Inventors: Pramod Kandanarachchi, Kazuyoshi Fujita, Steven Smith, Larry F Rhodes
  • Patent number: 8658332
    Abstract: Dynamic range enhancing dopants for photopolymeric media are described. Also described are optical articles using these dopants and methods for making such optical articles.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: February 25, 2014
    Assignee: Akonia Holographics, LLC
    Inventor: Fredric R. Askham
  • Patent number: 8647812
    Abstract: A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer, wherein the resist composition contains (A) a resin capable of increasing the polarity to decrease the solubility for an organic solvent-containing developer by the action of an acid, (B) at least one kind of a compound capable of generating a sulfonic acid represented by the specific formula upon irradiation with an actinic ray or radiation, and (C) a solvent.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: February 11, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kana Fujii, Shinji Tarutani
  • Patent number: 8647810
    Abstract: A resist lower layer film-forming composition includes (A) a polymer that includes a cyclic carbonate structure. The polymer (A) includes a structural unit (I) shown by the following formula (1).
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: February 11, 2014
    Assignee: JSR Corporation
    Inventors: Kazuo Nakahara, Tomoki Nagai
  • Publication number: 20140038415
    Abstract: Disclosed is a developer, one that does not cause pattern collapse during the formation process, for the formation of a fine pattern and a method for pattern formation using the developer. A developer used in a lithography process includes a polymer for forming a dry-etching mask and an organic solvent. The polymer is preferably a curable resin different from a curable resin forming a resist film. The developer is preferably used after exposure of the resist film. The organic solvent in the developer is preferably butyl acetate or a mixed solvent of butyl acetate and an alcohol, or 2-pentanone or a mixed solvent of 2-pentanone and an alcohol. Also disclosed is a method for producing a semiconductor device.
    Type: Application
    Filed: March 19, 2012
    Publication date: February 6, 2014
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Rikimaru Sakamoto, Yasushi Sakaida, Bangching Ho
  • Patent number: 8637222
    Abstract: A resist pattern forming method including in the following order, (1) a step of forming a film by using a negative chemical-amplification resist composition capable of undergoing negative conversion by a crosslinking reaction, (2) a step of exposing the film, and (4) a step of developing the exposed film by using a developer containing an organic solvent; a developer and a negative chemical-amplification resist composition used therefor; and a resist pattern formed by the pattern forming method.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: January 28, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koji Shirakawa, Hideaki Tsubaki, Akira Asano
  • Patent number: 8637225
    Abstract: A method for producing a magnetic recording medium comprising at least a recording layer and a protective film provided on top of a non-magnetic substrate, the method comprising, in the following order, forming a continuous recording layer on the substrate, forming a patterned resist layer, partially removing the recording layer based on a resist pattern, applying an organosilicon compound having an active energy beam-curable functional group onto the recording layer and regions from which the recording layer has been removed, curing the organosilicon compound with an active energy beam, etching the organosilicon compound to expose a magnetic layer, and forming a protective film.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: January 28, 2014
    Assignee: Showa Denko K.K.
    Inventors: Yoshikazu Arai, Hiroshi Uchida, Naoyuki Imai, Masato Fukushima
  • Patent number: 8637229
    Abstract: A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: January 28, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinichi Kanna
  • Patent number: 8632942
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film having a receding contact angle of 70 degrees or above with respect to water, wherein the resist composition for negative development contains a resin capable of increasing the polarity by the action of an acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) exposing the resist film via an immersion medium, and (c) performing development with a negative developer.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: January 21, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 8617794
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: December 31, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 8614053
    Abstract: Processes associated apparatus and compositions useful for removing organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. Processes are presented that apply a minimum volume of a composition as a coating to the inorganic substrate whereby sufficient heat is added and the organic substances are completely removed by rinsing. The compositions and processes may be suitable for removing and, in some instances, completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: December 24, 2013
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire, Spencer Erich Hochstetler, Rodney Scott Armentrout, Richard Dalton Peters, Darryl W. Muck
  • Patent number: 8614048
    Abstract: A resin having a structural unit derived from a compound represented by the following formula (I), wherein R1, A1 and ring X1 are as defined in the instant specification:
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: December 24, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masahiko Shimada, Takashi Nishimura
  • Patent number: 8614051
    Abstract: A photosensitive resin composition for an interlayer insulating film or a protective film of a substrate for circuit formation, which includes a polymer (a) having a structural unit shown by the formula (A) and a compound (b) which generates a radical when irradiated with active rays and has a structure shown by the following formula (B).
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: December 24, 2013
    Assignee: Hitachi Chemical Dupont Microsystems, Ltd.
    Inventors: Tomonori Minegishi, Rika Nogita, Dai Kawasaki, Keiko Suzuki, Taku Konno
  • Patent number: 8609327
    Abstract: Methods are presented of forming sub-lithographic patterns using double exposure. One method may include providing a photoresist layer over a layer to be patterned; exposing the photoresist layer using a first mask having a first opening; developing the photoresist layer to transfer the first opening into the photoresist layer, forming a boundary in the photoresist layer about the transferred first opening that is hardened; exposing the photoresist layer using a second mask having a second opening that overlaps the boundary; and developing the photoresist layer to transfer the second opening into the photoresist layer, leaving the boundary, wherein the boundary has a sub-lithographic dimension.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Wai-Kin Li
  • Patent number: 8603733
    Abstract: A pattern forming method comprising a step of applying a resist composition whose solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation and which contains a resin having an alicyclic hydrocarbon structure and a dispersity of 1.7 or less and being capable of increasing the polarity by the action of an acid, an exposure step, and a development step using a negative tone developer; a resist composition for use in the method; and a developer and a rinsing solution for use in the method, are provided, whereby a pattern with reduced line edge roughness and high dimensional uniformity can be formed.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: December 10, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Shinji Tarutani, Hideaki Tsubaki, Kazuyoshi Mizutani, Kenji Wada, Wataru Hoshino
  • Patent number: 8592130
    Abstract: A photosensitive resin composition comprising: (A) a binder polymer having a divalent group represented by formula (I), (II) and (III); (B) a photopolymerizing compound; and (C) a photopolymerization initiator. [In formulas (I), (II) and (III), R1, R2, R4 each independently represents a hydrogen atom or a methyl group, R3 is C1-C3 alkyl group, etc., m is an integer of 0-5, R5, R6 and R7 each independently represents a hydrogen atom or a C1-C5 alkyl group, and at least two among R5, R6 and R7 are C1-C5 alkyl groups.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: November 26, 2013
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Masahiro Miyasaka, Yukiko Muramatsu
  • Patent number: 8586284
    Abstract: The invention provides a photosensitive resin composition comprising (A) 100 parts by weight of a binder polymer having 10-65 parts by weight of a divalent group obtained from a specific styrene compound and its derivative, 5-55 parts by weight of a divalent group obtained from a specific (meth)acrylic acid ester and its derivative and 15-50 parts by weight of a divalent group obtained from (meth)acrylic acid, (B) a photopolymerizing compound and (C) a photopolymerization initiator.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: November 19, 2013
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Hanako Nankawa, Masahiro Miyasaka, Yukiko Muramatsu
  • Patent number: 8586282
    Abstract: The present invention relates to: a resist composition such as a chemically amplified resist composition for providing an excellent pattern profile even at a substrate-side boundary face of resist, in addition to a higher resolution in photolithography for micro-fabrication, and particularly in photolithography adopting, as an exposure source, KrF laser, ArF laser, F2 laser, ultra-short ultraviolet light, electron beam, X-rays, or the like; and a patterning process utilizing the resist composition. The present invention provides a chemically amplified resist composition comprising one or more kinds of amine compounds or amine oxide compounds (except for those having a nitrogen atom of amine or amine oxide included in a ring structure of an aromatic ring) at least having a carboxyl group and having no hydrogen atoms covalently bonded to a nitrogen atom as a basic center.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: November 19, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoshi Watanabe, Akinobu Tanaka, Takeru Watanabe, Takeshi Kinsho
  • Publication number: 20130280656
    Abstract: Photosensitive, developer-soluble bottom anti-reflective coatings are described. Compositions and methods of forming the same are also disclosed along with resulting microelectronic structures. The anti-reflective compositions comprise a multi-functional epoxy compound having multiple epoxy moieties pendant therefrom and one or more crosslinkable chromophores bonded thereto. The compounds are dispersed or dissolved in a solvent system with a vinyl ether crosslinker and can be used to create crosslinkable and de-crosslinkable coatings for microelectronics fabrication.
    Type: Application
    Filed: April 22, 2013
    Publication date: October 24, 2013
    Applicant: Brewer Science Inc.
    Inventors: Joyce Lowes, Jinhua Dai, Alice Guerrero
  • Patent number: 8563231
    Abstract: Methods for forming a pattern in a lithography process for semiconductor wafer manufacturing are provided. In an example, a method includes forming a photoresist layer over a material layer; performing a first exposure process on the photoresist layer, thereby forming an exposed photoresist layer having soluble portions and unsoluble portions; treating the exposed photoresist layer, wherein the treating includes one of performing a second exposure process on the exposed photoresist layer and forming an adsorbing chemical layer over the exposed photoresist layer; and developing the exposed and treated photoresist layer to remove the soluble portions of the photoresist layer, wherein the unsoluble portions of the photoresist layer form a photoresist pattern that exposes portions of the material layer.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ko-Bin Kao, Wei-Liang Lin, Jui-Ching Wu, Chia-Hsiang Lin, Ai-Jen Jung
  • Patent number: 8563230
    Abstract: A solvent vapor is made to adhere efficiently to the surface of a resist pattern without using an ultraviolet irradiation process to improve processing accuracy, to reduce processing time and to suppress the diffusion of the solvent outside a substrate processing system. The surface of a resist pattern R formed on a semiconductor wafer W by an exposure process and a developing process is coated with water molecules m. A solvent vapor of a water-soluble solvent, such as NMP, is spouted on the surface of the resist pattern R coated with the water molecules m. A surface layer of the resist pattern R is swollen by the solvent vapor combined with the water molecules m to achieve a smoothing process. The water molecules m and the solvent s remaining on the resist pattern R on the wafer W after the smoothing process are removed by drying.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 8546063
    Abstract: Provided is a pattern-forming method including, in the following order: (1) a process of forming a film with an actinic ray-sensitive or radiation-sensitive resin composition comprising a resin which contains an acid-decomposable repeating unit and is capable of decreasing the solubility in an organic solvent by the action of an acid; (2) a process of exposing the film with an electron beam or an EUV ray; and (4) a process of developing the film with a developer containing an organic solvent.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: October 1, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Koji Shirakawa, Toru Tsuchihashi
  • Publication number: 20130244186
    Abstract: A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.
    Type: Application
    Filed: May 10, 2013
    Publication date: September 19, 2013
    Applicant: Intermolecular Inc.
    Inventors: Indranil De, Anh Duong
  • Patent number: 8518634
    Abstract: A method of making an integrated circuit is provided. The method includes providing a substrate having a photosensitive layer. The photosensitive layer is exposed to a radiation beam. The exposed photosensitive layer is developed in a first chamber. In the first chamber, a cleaning process is performed on the developed photosensitive layer. The cleaning process includes using a rinse solution including at least one of ozone, hydrogen peroxide, and oxalic acid.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: August 27, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hsi Yeh, Yu-Fu Lin, Shao-Yen Ku, Chi-Ming Yang, Chin-Hsiang Lin
  • Patent number: 8512939
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method may include providing a substrate; forming a first material layer over the substrate; forming a patterned second material layer over the substrate; and removing the patterned second material layer with a fluid comprising a steric hindered organic base and organic solvent.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: August 20, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Patent number: 8507194
    Abstract: A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: August 13, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinichi Kanna
  • Patent number: 8507181
    Abstract: A method is described for producing an imaged lithographic printing plate, wherein the developer comprises a hydrophilic polymer comprising (m1) structural units derived from at least one compound comprising both a polyalkylene oxide chain and a free radical polymerizable group, and (m2) structural units derived from at least one compound copolymerizable with the free radical polymerizable group of (i) and comprising at least one functional group with pKs<5.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: August 13, 2013
    Inventors: Christopher D. Simpson, Bernd Strehmel, Harald Baumann, Ulrich Fiebag, Mathias Jarek
  • Patent number: 8507185
    Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: August 13, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8507173
    Abstract: A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern; causing the first resist pattern to crosslink and cure by irradiation of high-energy radiation of 200-320 nm wavelength; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: August 13, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8501386
    Abstract: A thermosetting silicon-containing film-forming composition for forming a silicon-containing film to be formed in a multi-layer resist process used in lithography, the composition including at least: (A) a silicon-containing compound obtained by hydrolysis-condensation of a hydrolyzable silicon compound and compound(s) selected from the group consisting of a hydrolyzable silicon compound and a reactive compound; (B) a thermal crosslinking accelerator; (C) an organic acid with one, or two or more valency having 1 to 30 carbon atoms; and (D) an organic solvent.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: August 6, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano, Koji Hasegawa
  • Patent number: 8492075
    Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: July 23, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8481244
    Abstract: A photo-curable resin composition comprising an epoxy-containing silphenylene or silicone polymer with a Mw of 3,000-500,000 forms a coating which is useful as a protective film for electric/electronic parts.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: July 9, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Hideto Kato
  • Patent number: 8476003
    Abstract: An iterative rinse for fabrication of semiconductor devices is described. The iterative rinse includes a plurality of rinse cycles, wherein each of the plurality of rinse cycles has a different resistivity. The plurality of rinse cycles may include a first rinse of a semiconductor substrate with de-ionized (DI) water and carbon dioxide (CO2), followed by a second rinse the semiconductor substrate with DI water and CO2. The first rinse has a first resistivity; the second rinse has a second resistivity lower than the first resistivity.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: July 2, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Yao Lee, Wei-Hong Chuang, Li-Shiuan Chen, Ping-Hsi Yang
  • Publication number: 20130164694
    Abstract: The present invention provides a rinse solution for lithography and a pattern formation method using the solution. They can improve prevention of both the pattern collapse and the melting at the same time. The solution contains water and a particular nitrogen-containing compound having an organic group, such as, alkyl amine or the like. The rinse solution may further contain a nonionic surfactant, if necessary.
    Type: Application
    Filed: August 9, 2011
    Publication date: June 27, 2013
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Xiaowei Wang, Yuriko Matsuura, Georg Pawlowski
  • Patent number: 8470515
    Abstract: A method of forming an etch mask includes: providing a substrate having thereon a material layer to be etched; forming a hard mask layer consisting of a radiation-sensitive, single-layer resist material on the material layer; exposing the hard mask layer to actinic energy to change solvent solubility of exposed regions of the hard mask layer; and subjecting the hard mask layer to water treatment to remove the exposed regions of the hard mask layer, thereby forming a masking pattern consisting of unexposed regions of the hard mask layer.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: June 25, 2013
    Assignee: Nanya Technology Corp.
    Inventors: Tse-Yao Huang, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8465901
    Abstract: Methods of adjusting dimensions of resist patterns are provided. The methods allow for control of photoresist pattern dimensions and find particular applicability in resist pattern rework in semiconductor device manufacturing.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: June 18, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8465903
    Abstract: Methods for forming photoresists sensitive to radiation on a substrate are provided. Described are chemical vapor deposition methods of forming films (e.g., silicon-containing films) as photoresists using a plasma which may be exposed to radiation to form a pattern. The deposition methods utilize precursors with cross-linkable moieties that will cross-link upon exposure to radiation. Radiation may be carried out in the with or without the presence of oxygen. Exposed or unexposed areas may then be developed in an aqueous base developer.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: June 18, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Timothy Michaelson, Paul Deaton, Nitin K. Ingle, Abhijit Basu Mallick, Amit Chatterjee
  • Patent number: 8450043
    Abstract: The invention concerns a method for patterning a surface of a material. A substrate having a polymer film thereon is provided. The polymer is a selectively reactive polymer (e.g., thermodynamically unstable): it is able to unzip upon suitable stimulation. A probe is used to create patterns on the film. During the patterning, the film is locally stimulated for unzipping polymer chains. Hence, a basic idea is to provide a stimulus to the polymeric material, which in turn spontaneously decomposes e.g., into volatile constituents. For example, the film is thermally stimulated in order to break a single bond in a polymer chain, which is sufficient to trigger the decomposition of the entire polymer chain.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel J. Coady, Urs T. Duerig, Jane E. Frommer, Kazuki Fukushima, James L. Hedrick, Armin W. Knoll
  • Patent number: 8450045
    Abstract: A pattern forming method includes providing and curing a under-layer film containing a radiation-sensitive acid generator which generates an acid upon exposure to radiation on a substrate. The under-layer film is irradiated with radiation through a mask to cause an acid to be selectively generated in an exposed area of the under-layer film. An upper-layer film which does not contain a radiation-sensitive acid generator and which contains a composition capable of polymerizing or crosslinking by an action of an acid is provided. A cured film is provided by polymerization or crosslinking selectively in an area of the upper-layer film corresponding to the exposed area of the under-layer film in which the acid has been generated. An area of the upper-layer film corresponding to an area of the under-layer film in which the acid has not been generated is removed.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: May 28, 2013
    Assignee: JSR Corporation
    Inventors: Hikaru Sugita, Nobuji Matsumura, Daisuke Shimizu, Toshiyuki Kai, Tsutomu Shimokawa
  • Patent number: 8445178
    Abstract: A composition for radical polymerization includes a photosensitive material, a photoinitiator, a solvent, and a material for adjusting a size of a pattern. A method of forming a pattern using the composition is also disclosed.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: May 21, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-jin Park, Kwang-hee Lee, Xavier Bulliard, Yun-hyuk Choi, Kwang-sup Lee
  • Patent number: 8440389
    Abstract: Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: May 14, 2013
    Assignee: Dynaloy, LLC
    Inventors: Kimberly Dona Pollard, John M. Atkinson, Raymond Chan, Michael T. Phenis, Allison C. Rector, Donald Pfettscher
  • Patent number: 8435719
    Abstract: A process for tuning the water contact angle of an immersion photoresist layer or immersion topcoat layer by modification of the top surface. The surface modification is a layer of fluorinated polymer.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: May 7, 2013
    Assignee: International Business Machines Corporation
    Inventor: Dario Leonardo Goldfarb
  • Patent number: 8435718
    Abstract: An upper layer-forming composition includes a resin, and a solvent. The resin is dissolvable in a developer for a photoresist film which is to be covered by the upper layer-forming composition to form a pattern by exposure to radiation. The solvent dissolves the resin in the solvent. The solvent includes a compound shown by a formula (1). Each of R1 and R2 independently represents a hydrocarbon group having 1 to 8 carbon atoms or a halogenated hydrocarbon group.
    Type: Grant
    Filed: October 13, 2011
    Date of Patent: May 7, 2013
    Assignee: JSR Corporation
    Inventors: Atsushi Nakamura, Hiroki Nakagawa, Hiromitsu Nakashima, Takayuki Tsuji, Hiroshi Dougauchi, Daita Kouno, Yukio Nishimura
  • Patent number: 8431329
    Abstract: Self-aligned spacer multiple patterning method are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: April 30, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Publication number: 20130101812
    Abstract: Provided is a method of forming a pattern, including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) developing the exposed film with a developer containing an organic solvent, wherein the developer contains an ester and a ketone having 7 or more carbon atoms.
    Type: Application
    Filed: September 16, 2011
    Publication date: April 25, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Sou Kamimura, Tsukasa Yamanaka, Yuichiro Enomoto, Keita Kato
  • Patent number: 8426113
    Abstract: The present invention provides chemically amplified silsesquioxane polymers for preparing masks using e-beam lithography. The silsesquioxane polymers have reactive sidechains that in the presence of an acid undergo acid catalyzed rearrangement to generate reactive functionalities that crosslink to form Si—O—Si bonds. The reactive side-chains comprise ?- and ?-substituted alkyl groups bound to the silicon of the silsesquioxane polymer. The substituent of the ?- and ?-substituted alkyl group is an electron withdrawing group. Resists generated with the chemically amplified silsesquioxane polymers of the present invention and imaged with e-beams have resolution of ?60 nm line/space.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: April 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Luisa Dominica Bozano, Blake W. Davis, Alshakim Nelson, Jitendra Singh Rathore, Linda Karin Sundberg
  • Patent number: 8420304
    Abstract: The present invention provides a resist coating and developing apparatus, a resist coating and developing method, a resist-film processing apparatus, and a resist-film processing method, capable of reducing a line width roughness by planarizing a resist pattern. The resist coating and developing apparatus comprises: a resist-film forming part configured to coat a resist onto a substrate to form a resist film thereon; a resist developing part configured to develop the exposed resist film to obtain a patterned resist film; and a solvent-gas supply part configured to expose the resist film, which has been developed and patterned by the resist developing part, to a first solvent of a gaseous atmosphere having a solubility to the resist film. A solvent supply part supplies, to the resist film which has been exposed to the first solvent, a second solvent in a liquid state having a solubility to the resist film.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 8420289
    Abstract: An aromatic ring-containing polymer, a polymer mixture, an antireflective hardmask composition, and a method for patterning a material on a substrate, the aromatic ring-containing polymer including at least one aromatic ring-containing polymer represented by Formulae 1, 2, or 3.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 16, 2013
    Assignee: Cheil Industries, Inc.
    Inventors: Min Soo Kim, Dong Seon Uh, Chang Il Oh, Kyong Ho Yoon, Kyung Hee Hyung, Jin Kuk Lee, Jong-Seob Kim, Hwan Sung Cheon, Irina Nam, Nataliya Tokareva