Finishing Or Perfecting Composition Or Product Patents (Class 430/331)
  • Patent number: 8206894
    Abstract: A resist pattern-forming method includes forming a first resist pattern using a first positive-tone radiation-sensitive resin composition. A resist pattern-miniaturizing resin composition is applied to the first resist pattern. The resist pattern-miniaturizing resin composition applied to the first resist pattern is baked and developed to form a second resist pattern that is miniaturized from the first resist pattern. A resist pattern-insolubilizing resin composition is applied to the second resist pattern. The resist pattern-insolubilizing resin composition applied to the second resist pattern is baked and washed to form a third resist pattern that is insoluble in a developer and a second positive-tone radiation-sensitive resin composition. A second resist layer is formed on the third resist pattern using the second positive-tone radiation-sensitive resin composition. The second resist layer is exposed and developed to form a fourth resist pattern.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: June 26, 2012
    Inventors: Takayoshi Abe, Atsushi Nakamura, Gouji Wakamatsu
  • Patent number: 8202677
    Abstract: There is disclosed a chemically-amplified positive resist composition comprising, as main components, (A) a base polymer, which contains one or more kinds of a monomer unit represented by the following general formula (1) and the like, and is an alkali-insoluble polymer whose hydroxyl group is partly protected by an acetal group while alkali-soluble when deprotected by an acid catalyst, (B) a sulfonium salt containing a sulfonate anion, (C) a basic component, and (D) an organic solvent. In a lithography technology by a photo resist, an extremely high temporal stability is necessary. In addition, it must give a good pattern profile not dependent on a substrate and have a high resolution power. There can be provided a chemically-amplified positive resist composition which can solve these problems simultaneously, a resist patterning process using the same, and a method for producing a photo mask blank.
    Type: Grant
    Filed: June 8, 2009
    Date of Patent: June 19, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Satoshi Watanabe, Youichi Ohsawa, Masaki Ohashi, Takeshi Kinsho
  • Patent number: 8198005
    Abstract: Provided is a method of forming a resist pattern capable of forming a resist pattern, whose dimensional variations and defects are reduced as far as possible, with a high throughput. The invention provides a method of forming a resist pattern involving the following: forming a resist film on a substrate; subjecting the resist film to exposure treatment in a pressure-reduced condition after performing pressure-reducing treatment; performing reduced-pressure releasing treatment that releases the resist film from a pressure-reduced condition while humidifying the resist film by introducing a humidity-adjusted gas into the pressure-reduced environment; performing bake treatment that heats the resist film after the reduced-pressure releasing treatment; and developing the resist film.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: June 12, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Eishi Shiobara, Shinichi Ito
  • Patent number: 8198016
    Abstract: The present invention provides a patterning process, in which a resistance with regard to an organic solvent used for a composition for formation of a reverse film is rendered to a positive pattern to the degree of necessity and yet solubility into an alkaline etching liquid is secured, thereby enabling to finally obtain a negative image by a positive-negative reversal by performing a wet etching using an alkaline etching liquid. A resist patterning process of the present invention using a positive-negative reversal comprises at least a step of forming a resist film by applying a positive resist composition; a step of obtaining a positive pattern by exposing and developing the resist film; a step of crosslinking the positive resist pattern thus obtained; a step of forming a reverse film; and a step of reversing the positive pattern to a negative pattern by dissolving into an alkaline wet-etching liquid for removal.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: June 12, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Tsutomu Ogihara, Mutsuo Nakashima, Kazuhiro Katayama
  • Publication number: 20120141935
    Abstract: A developer solution can be used to prepare lithographic printing plates from negative-working precursors. The developer solution has a pH of at least 4 and up to and including 11. It also comprises both an ethylene/propylene glycol block copolymer and either or both of a sugar alcohol or a mono- or oligosaccharide. This combination of components provides desired processing to provide lithographic printing plates that can be used for printing without any post-development treatments with other solutions.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 7, 2012
    Inventors: Bernd Strehmel, Oliver Piestert, Harald Baumann
  • Patent number: 8192923
    Abstract: A photoresist stripping solution which comprises (a) a salt of hydrofluoric acid with a base free from metal ions, (b) a water-soluble organic solvent, (c) a mercapto group containing corrosion inhibitor, and (d) water, and a method of stripping photoresists with the use of the same are disclosed. In case of using ammonium fluoride as component (a), the photoresist stripping solution may further contain (e) a salt of hydrofluoric acid with a quaternary ammonium hydroxide, such as tetramethylammonium hydroxide, tetrapropylammonium hydroxide, etc., and/or an alkanolamine. The photoresist stripping solution of the present invention has an excellent effect of protecting both Al- and Cu-based metal wiring conductors from corrosion, of efficiently stripping photoresist films and post-ashing residues, and is free from the precipitation of the corrosion inhibitor.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: June 5, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Publication number: 20120129095
    Abstract: A silicate-free alkaline aqueous developer composition has a pH of at least 12 and comprises a metal cation M2+ selected from barium, calcium, strontium, and zinc cations, and a chelating agent that has a complex formation constant (log K) for the metal cation of at least 3.5 and less than or equal to 4.5, and a log K for aluminum ion that is 7 or less. This developer composition can be used to process positive-working lithographic printing plate precursors to provide lithographic printing plates.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 24, 2012
    Inventors: Moshe Levanon, Leonid Askadsky
  • Publication number: 20120126372
    Abstract: A resist pattern thickening material is disclosed that can utilize ArF excimer laser light; which, when applied over a resist pattern such as an ArF resist having a line pattern or the like, can thicken the resist pattern regardless of the size of the resist pattern; which has excellent etching resistance; and which is suited for forming a fine space pattern or the like, exceeding the exposure limits. Also disclosed is a process for forming a resist pattern and a method for manufacturing a semiconductor device, wherein the resist pattern thickening material of the present invention is suitably utilized.
    Type: Application
    Filed: January 30, 2012
    Publication date: May 24, 2012
    Applicant: FUJITSU LIMITED
    Inventors: Miwa Kozawa, Koji Nozaki
  • Publication number: 20120115088
    Abstract: Gum solutions are formulated to develop a negative-working photopolymer imaging layer coated on an anodized aluminum substrate that has undergone a post-anodic sealing treatment with inorganic phosphate and inorganic fluoride. The gum solution contains at least one polycarboxylic acid—which may be a polymer—that beneficially desensitizes the surface after the unexposed photopolymer layer is removed.
    Type: Application
    Filed: November 10, 2010
    Publication date: May 10, 2012
    Applicant: Presstek, Inc.
    Inventors: Kevin Ray, Frederick Richard Kearney
  • Patent number: 8173358
    Abstract: A method of forming fine patterns of a semiconductor device includes forming a plurality of first mask patterns on a substrate such that the plurality of first mask patterns are separated from one another by a space located therebetween, in a direction parallel to a main surface of the substrate, forming a plurality of capping films formed of a first material having a first solubility in a solvent on sidewalls and a top surface of the plurality of first mask patterns. The method further includes forming a second mask layer formed of a second material having a second solubility in the solvent, which is less than the first solubility, so as to fill the space located between the plurality of first mask patterns, and forming a plurality of second mask patterns corresponding to residual portions of the second mask layer which remain in the space located between the plurality of first mask patterns, after removing the plurality of capping films and a portion of the second mask layer using the solvent.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: May 8, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyoung-hee Kim, Yool Kang, Seong-woon Choi, Jin-young Yoon
  • Patent number: 8173356
    Abstract: A three dimensional scaffold having a three dimensional structure is easily fabricated by employing a lithography process used in a semiconductor manufacturing process. A method of fabricating the same is also disclosed have a conformational structure. In the method of fabricating a three dimensional scaffold having the conformational structure according to the present invention, a first pattern is first formed on a substrate by using a first photoresist through a lithography process, and a temporary photoresist is coated on a whole surface of the substrate. Next, a temporary pattern exposing the upper part of the first pattern to the surface is formed by using the lithography process, and a second photoresist contacting the first pattern via the temporary pattern is coated on the whole surface of the substrate.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: May 8, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chin Sung Park, Joon Ho Kim
  • Patent number: 8173348
    Abstract: A method for forming a pattern contains (1) a step of forming an underlayer film containing (A) a radiation-sensitive acid generator capable of generating an acid upon exposure to radiation rays or (B) a radiation-sensitive base generator capable of generating a base upon exposure to radiation rays on a substrate; (2) a step of irradiating the underlayer film with radiation rays through a mask with a predetermined pattern to obtain an exposed underlayer film portion having been selectively exposed through the predetermined pattern; (3) a step of forming (C) an organic thin film on the underlayer film so as to attain chemical bonding of the exposed underlayer film portion with the organic thin-film formed on the exposed underlayer film portion; and (4) a step of removing the organic thin film formed on areas of the underlayer film other than the exposed underlayer film portion.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: May 8, 2012
    Assignee: JSR Corporation
    Inventors: Daisuke Shimizu, Hikaru Sugita, Nobuji Matsumura, Toshiyuki Kai, Tsutomu Shimokawa
  • Patent number: 8168375
    Abstract: Disclosed is a patterning method including: forming a first film on a substrate; forming a multi-layered film including a resist film on the first film; forming a patterned resist film having a preset pattern by patterning the resist film by photolithography; forming a silicon oxide film different from the first film on the patterned resist film and the first film by alternately supplying a first gas containing organic silicon and a second gas containing an activated oxygen species to the substrate; etching the silicon oxide film to thereby form a sidewall spacer on a sidewall of the patterned resist film; removing the patterned resist film; and processing the first film by using the sidewall spacer as a mask.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Patent number: 8168378
    Abstract: In the present invention, a plurality of heat treatment plates are provided side by side in a linear form on a base of a heat treatment apparatus in a coating and developing treatment system. In the heat treatment apparatus, three transfer member groups are provided which transfer a substrate in zones between adjacent heat treatment plates. At the time when performing a pre-baking treatment in the heat treatment apparatus, the substrate is transferred in order to the heat treatment plates at the same temperature, whereby the heat treatment is dividedly performed on the heat treatment plates. According to the present invention, substrates are subjected to heat treatment along the same route, so that the thermal histories are made uniform among the substrates.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Takahisa Otsuka, Tsuyoshi Shibata
  • Patent number: 8163466
    Abstract: A method forms a first patterned mask (comprising rectangular features and/or rounded openings) on a planar surface and forms a second patterned mask on the first patterned mask and the planar surface. The second patterned mask covers protected portions of the first patterned mask and the second patterned mask reveals exposed portions of the first patterned mask. The method treats the exposed portions of the first patterned mask with a chemical treatment that reduces the size of the exposed portions to create an altered first patterned mask.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wai-Kin Li
  • Patent number: 8153357
    Abstract: A photosensitive composition remover used for removal of an uncured photosensitive composition, which remover comprises 1 to 80 percent by mass of at least one type of aromatic hydrocarbon having 9 carbon atoms or more within the molecule. The photosensitive composition remover further comprises an aprotic polar solvent and/or another solvent other than aprotic polar solvents. The photosensitive composition remover is effective for removal of an uncured photosensitive composition film deposited at the periphery, edges, or back of a substrate or removal of an uncured photosensitive composition deposited at the surface of system members or equipment in a process for forming a photosensitive composition film on a glass substrate, a semiconductor wafer, or the like. It is preferably used for removal of a photosensitive composition containing a pigment.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: April 10, 2012
    Assignee: Showa Denko K.K.
    Inventors: Masato Kaneda, Yasuhiro Mikawa, Koji Shimizu, Kouichi Terao
  • Patent number: 8148050
    Abstract: Disclosed herein is a method for fabricating a probe needle tip of a probe card, in which, in order to prevent a poor grinding effect caused by irregular removal or flexibility of the photoresists laminated to be high in the course of polishing a first metal loaded into the opening of the photoresists laminated into a multilayer configuration upon formation of the probe needle tip of the probe card, a second metal is laminated on any one of one or more stacked photoresist layers, thus firmly holding the photoresist layers on/beneath the metal.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: April 3, 2012
    Assignees: Byung Ho Jo, Microfriend Inc.
    Inventor: Byung Ho Jo
  • Patent number: 8148055
    Abstract: A method for developing a photoresist includes applying a first developer to the photoresist to remove non-cross-linked areas of the photoresist, and applying a second developer to the photoresist to remove remaining non-cross-linked areas of the photoresist, wherein the first developer and the second developer differ in their compositions.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: April 3, 2012
    Assignee: Infineon Technologies AG
    Inventors: Klaus-Guenter Oppermann, Martin Franosch
  • Patent number: 8142984
    Abstract: Lithographically patterned nanowire electrodeposition (LPNE) combines attributes of photolithography with the versatility of bottom-up electrochemical synthesis. Photolithography is employed to define the position of a sacrificial nanoband electrode, preferably formed from a metal such as nickel, copper, silver, gold or the like, which is stripped using electrooxidation or a chemical etchant to advantageously recess the nanoband electrode between a substrate surface and the photoresist to form a trench defined by the substrate surface, the photoresist and the nanoband electrode. The trench acts as a “nanoform” to form an incipient nanowire during its electrodeposition. The width of the nanowire is determined by the electrodeposition duration while its height is determined by the height of the nanoband electrode.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: March 27, 2012
    Assignee: The Regents of the University of California
    Inventors: Reginald M. Penner, Erik J. Menke, Michael A. Thompson, Chengxiang Xiang
  • Patent number: 8142989
    Abstract: A method of fabricating a process chamber component having a textured surface with raised features. The method comprises providing a process chamber component having a surface, and forming a patterned resist layer on the process chamber component, the patterned resist layer having apertures that expose portions of the surface of the process chamber component therethrough. A textured surface having raised features is formed on the process chamber component by propelling grit particles with a gas that is pressurized to a pressure sufficiently high to cause the grit particles to erode and remove material from the surface.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: March 27, 2012
    Assignee: Quantum Global Technologies LLC
    Inventors: Karl Brueckner, Brian West, Hong Wang
  • Patent number: 8137893
    Abstract: A new lithographic process comprises reducing the linewidth of an image while maintaining the lithographic process window, and using this process to fabricate pitch split structures comprising nm order (e.g., about 22 nm) node semiconductor devices. The process comprises applying a lithographic resist layer on a surface of a substrate and patterning and developing the lithographic resist layer to form a nm order node image having an initial line width. Overcoating the nm order node image with an acidic polymer produces an acidic polymer coated image. Heating the acidic polymer coated image gives a heat treated coating on the image, the heating being conducted at a temperature and for a time sufficient to reduce the initial linewidth to a subsequent narrowed linewidth. Developing the heated treated coating removes it from the image resulting in a free-standing trimmed lithographic feature on the substrate. Optionally repeating the foregoing steps further reduces the linewidth of the narrowed line.
    Type: Grant
    Filed: January 1, 2011
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Sean David Burns, Matthew E. Colburn, Steven John Holmes, Wu-Song Huang
  • Patent number: 8129089
    Abstract: The present invention provides a blended solvent for solubilizing an ultraviolet photoresist. The blended solvent comprises a mixture of from about 5 vol % to about 95 vol % of a first solvent, wherein the first solvent comprises a cyclic ester. A balance of the mixture comprises a second solvent, wherein the second solvent comprises a volatile organic liquid.
    Type: Grant
    Filed: January 6, 2010
    Date of Patent: March 6, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Mark H. Somervell, Benjamen M. Rathsack, David C. Hall
  • Patent number: 8129088
    Abstract: A low-resistance, fine electrode is formed by baking in air a photosensitive paste which has an inorganic component containing copper powder, boron powder, and glass frit, and an organic component containing a photopolymerization initiator, monomer, and organic vehicle, and in which the average particle size of the copper powder is 2.5 ?m or less, and the content of boron powder based on the total amount of copper powder and boron powder is 8 to 25 wt %.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: March 6, 2012
    Assignee: E.I. du Pont de Nemours and Company
    Inventor: Masakatsu Kuroki
  • Publication number: 20120052449
    Abstract: Provided is a method of forming pattern including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) developing the exposed film with a developer containing a first organic solvent, wherein in the developer, particles each having a diameter of 0.3 ?m or greater amount to a density of 30 particles/ml or less.
    Type: Application
    Filed: August 24, 2011
    Publication date: March 1, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Keita KATO, Sou KAMIMURA, Yuichiro ENOMOTO, Kaoru IWATO, Shohei KATAOKA, Shoichi SAITOH
  • Patent number: 8124320
    Abstract: A method and apparatus are used for cleaning and drying a semiconductor wafer. Within a sealable chamber, a wafer having photoresist features thereon is spun while a cleaning fluid is applied to a top surface of the semiconductor wafer to clean off excess photoresist. A rinsing solution is applied to rinse the semiconductor wafer of any remaining impurities. To reduce stresses on the photoresist features caused by surface tension of the rinsing solution as it dries, which stresses may cause toppling of the features, the semiconductor wafer is dried in a vapor ambient within the sealable chamber. The vapor ambient, formed by combining an inert gas with a vaporized surface tension modifying fluid, produces a Marangoni effect to reduce surface tension of the rinsing solution. Optionally, to further reduce surface tension, a surfactant may be introduced into the rinsing solution and the temperature and pressure of the interior of the sealed chamber may be adjusted.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: February 28, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Guy T. Blalock
  • Publication number: 20120045720
    Abstract: A color contrast image in imaged lithographic printing precursors can be obtained by contacting the imaged precursor with a coloration solution containing a colorless form of a photochromic compound. Residual amounts of this compound attached to the oleophilic surface of the imaged precursor can be changed to its colored form when exposed to UV light. The coloration solution can be an alkaline or acidic developer or an alkaline or acidic solution used separately after development. The coloration solution can also be a gum solution.
    Type: Application
    Filed: August 20, 2010
    Publication date: February 23, 2012
    Inventors: Murray Figov, Ruizheng Wang, Moshe Marom, Ilan Levi, Eynat Matzner
  • Patent number: 8119322
    Abstract: A method for forming a self-aligned pattern on an existing pattern on a substrate comprising applying a coating of a solution containing a masking material in a carrier, the masking material being either photo or thermally sensitive; performing a blanket exposure of the substrate; and allowing at least a portion of the masking material to preferential develop in a fashion that is replicates the existing pattern of the substrate. The existing pattern may be comprised of a first set of regions of the substrate having a first reflectivity and a second set of regions of the substrate having a second reflectivity different from the first composition. The first set of regions may include one or more metal elements and the second set of regions may include a dielectric. Structures made in accordance with the method.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, Matthew E. Colburn, Elbert Huang, Muthumanickam Sankarapandian
  • Patent number: 8119324
    Abstract: A pattern formation method suitable for forming micro-patterns using electron beams (EB), X-rays, or extreme ultraviolet radiation (EUV) is provided.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: February 21, 2012
    Assignee: JSR Corporation
    Inventors: Hikaru Sugita, Nobuji Matsumura, Daisuke Shimizu, Toshiyuki Kai, Tsutomu Shimokawa
  • Patent number: 8114573
    Abstract: A mask having features formed by self-organizing material, such as diblock copolymers, is formed on a partially fabricated integrated circuit. Initially, a copolymer template, or seed layer, is formed on the surface of the partially fabricated integrated circuit. To form the seed layer, diblock copolymers, composed of two immiscible blocks, are deposited in the space between copolymer alignment guides. The copolymers are made to self-organize, with the guides guiding the self-organization and with each block aggregating with other blocks of the same type, thereby forming the seed layer. Next, additional, supplemental diblock copolymers are deposited over the seed layer. The copolymers in the seed layer guide self-organization of the supplemental copolymers, thereby vertically extending the pattern formed by the copolymers in the seed layer.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: February 14, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Steve Kramer
  • Patent number: 8110335
    Abstract: There is disclosed a resist patterning process with a minimum line width of 65 nanometers or less may be formed by using a resist composition containing a polymer, as a base polymer of a chemically-amplified resist composition, composed of a styrene unit whose hydroxyl group is protected by an acid labile group, and an indene unit, and/or an acenaphthalene unit, wherein the polymer has the weight-average molecular weight of 4,000 to 7,000, and in particular, 4,500 to 5,500. One of the currently existing problems to be solved is the line edge roughness. To solve this problem by an acid-generator and a basic compound, there is a problem of the trade-off relationship with a resolution power. There can be provided a resist composition having a high resolution containing a base polymer such as hydroxystyrene that is protected by an acid labile group, a resist patterning process with a pattern rule of 65 nanometers or less having a reduced line edge roughness.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: February 7, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Satoshi Watanabe, Tamotsu Watanabe, Akinobu Tanaka, Keiichi Masunaga, Ryuji Koitabashi
  • Patent number: 8110340
    Abstract: A pattern for a gate line is formed using a first photoresist pattern and a first BARC layer. A pad and patterns for a select line, which has a width that is larger than that of the gate line, are formed using a second photoresist pattern and a second BARC layer. The gate line, the pad and the select line can be formed at a same time.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: February 7, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woo Yung Jung
  • Patent number: 8105764
    Abstract: A pattern is formed through positive/negative reversal by coating a chemically amplified positive resist composition comprising an acid labile group-bearing resin, a photoacid generator, and an organic solvent onto a substrate, prebaking the resist composition, exposing the resist film to high-energy radiation, post-exposure heating, and developing the exposed resist film with an alkaline developer to form a positive pattern; irradiating or heating the positive pattern to facilitate elimination of acid labile groups and crosslinking for improving alkali solubility and imparting solvent resistance; coating a reversal film-forming composition thereon to form a reversal film; and applying an alkaline wet etchant thereto for dissolving away the positive pattern.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: January 31, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Toshinobu Ishihara
  • Patent number: 8105738
    Abstract: Disclosed is a developing method that performs a developing for forming a second resist pattern after forming and exposing a resist film on a surface of a substrate on which a first resist pattern is formed. The method includes a first process for developing the substrate for a first time period t1 in the state where the substrate stops, and a second process for developing the substrate for a second time period while rotating the substrate. The time ratio of first time period and second time period is adjusted so that a critical dimension of the first resist pattern is equal to a first predetermined value, and a total time of first time period and second time period is adjusted so that a critical dimension of the second resist pattern is equal to a second predetermined value.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: January 31, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Kousuke Yoshihara
  • Publication number: 20120021358
    Abstract: A process for making a lithographic printing plate is provided that includes (A) a step of preparing a lithographic printing plate precursor that includes above a support a photosensitive layer that includes (i) a binder polymer, (ii) an ethylenically unsaturated compound, and (iii) a radical polymerization initiator, (B) an exposure step of exposing the lithographic printing plate precursor, and (C) a development step of removing the photosensitive layer of a non-exposed area of the lithographic printing plate precursor, the development step (C) being carried out by means of a developer that includes an enzyme. There are also provided a developer for a lithographic printing plate precursor, the developer including (a) an enzyme and (b) a surfactant, and a replenisher for lithographic printing plate precursor development, the replenisher including an enzyme.
    Type: Application
    Filed: November 26, 2009
    Publication date: January 26, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Yoshinori Taguchi, Susumu Yoshida
  • Patent number: 8101333
    Abstract: The present invention provides a method for miniaturizing a pattern without seriously increasing the production cost or impairing the production efficiency. This invention also provides a fine resist pattern and a resist substrate-treating solution used for forming the fine pattern. The pattern formation method comprises a treatment step. In the treatment step, a resist pattern after development is treated with a resist substrate-treating solution containing an amino group-containing, preferably, a tertiary polyamine-containing water-soluble polymer, so as to reduce the effective size of the resist pattern formed by the development. The present invention also relates to a resist pattern formed by that method, and further relates to a treating solution used in the method.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: January 24, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Go Noya, Ryuta Shimazaki, Masakazu Kobayashi
  • Patent number: 8097397
    Abstract: Disclosed are: a material for forming a protective film to be laminated on a photoresist film, which can prevent the contamination of an exposing device with an outgas generated from the photoresist film, which has little influence on the environment, which has a high water repellent property, which sparingly causes mixing with the photoresist film, and which can form a high-resolution photoresist pattern; a method for forming a photoresist pattern; and a solution for washing/removing a protective film. Specifically disclosed are: a material for forming a protective film, which comprises (a) a non-polar polymer and (b) a non-polar solvent; a method for forming a photoresist pattern by using the material; and a solution for washing/removing a protective film, which is intended to be used in the method.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: January 17, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Toshikazu Takayama, Keita Ishiduka, Hideo Hada, Shigeru Yokoi
  • Patent number: 8097398
    Abstract: In the method for manufacturing a semiconductor device, a resist film is formed on a substrate and is processed to be provided with openings to form a first resist pattern. Additive-containing layers containing an additive that changes a state of the resist film to a soluble state for a developer are formed so as to cover the first resist pattern. A first resin film having a nature of changing to a soluble state for the developer by containing the additive is formed in the openings of the first resist pattern. The additive is diffused into the first resist pattern and the first resin film to form first and second additive-diffusing portions which can be solved in the developer. The first and second additive-diffusing portions are removed by the developer to form second resist pattern made of remaining portions in the first resist pattern and the first resin film.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: January 17, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takehiro Kondoh, Eishi Shiobara
  • Publication number: 20120003591
    Abstract: Provided is a method of forming a pattern, including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) developing the exposed film with a developer containing an organic solvent, wherein the developer contains an alcohol compound (X) at a content of 0 to less than 500 ppm based on the total mass of the developer.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 5, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Yuichiro ENOMOTO, Shinji TARUTANI, Sou KAMIMURA, Kaoru IWATO, Keita KATO, Kana FUJII
  • Patent number: 8088551
    Abstract: Some embodiments include methods of forming patterns in which a block copolymer-containing composition is formed over a substrate, and is then patterned to form a first mask. The block copolymer of the composition is subsequently induced into forming a repeating pattern within the first mask. Portions of the repeating pattern are then removed to form a second mask from the first mask. The patterning of the block copolymer-containing composition may utilize photolithography. Alternatively, the substrate may have regions which wet differently relative to one another with respect to the block copolymer-containing composition, and the patterning of the first mask may utilize such differences in wetting in forming the first mask.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: January 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Dan Millward
  • Patent number: 8088557
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film having a receding contact angle of 70 degrees or above with respect to water, wherein the resist composition for negative development contains a resin capable of increasing the polarity by the action of an acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) exposing the resist film via an immersion medium, and (c) performing development with a negative developer.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: January 3, 2012
    Assignee: Fujifilm Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 8088566
    Abstract: A surface-treating agent for forming a resist pattern, includes: a compound represented by formula (1) as defined in the specification, wherein the surface-treating agent is used in a step between a formation of a first resist pattern on a first resist film and a formation of a second resist film on the first resist pattern to form a second resist pattern, and a pattern-forming method uses the surface-treating agent.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: January 3, 2012
    Assignee: Fujifilm Corporation
    Inventors: Wataru Hoshino, Hideaki Tsubaki, Masahiro Yoshidome
  • Patent number: 8084186
    Abstract: The present invention relates to a process for forming an reverse tone image on a device comprising; a) forming an optional absorbing organic underlayer on a substrate; b) forming a coating of a photoresist over the underlayer; c) forming a photoresist pattern; d) forming a polysilazane coating over the photoresist pattern from a polysilazane coating composition, where the polysilazane coating is thicker than the photoresist pattern, and further where the polysilazane coating composition comprises a silicon/nitrogen polymer and an organic coating solvent; e) etching the polysilazane coating to remove the polysilazane coating at least up to a level of the top of the photoresist such that the photoresist pattern is revealed; and, f) dry etching to remove the photoresist and the underlayer which is beneath the photoresist, thereby forming an opening beneath where the photoresist pattern was present.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: December 27, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Ralph R. Dammel, Yusuke Takano, Jin Li, Kazunori Kurosawa
  • Patent number: 8084184
    Abstract: A composition for removing a photoresist includes a) an amine compound having a cyclic amine and/or a diamine, b) a glycol ether compound, c) a corrosion inhibitor and d) a polar solvent. The composition further includes a stripping promoter. Further disclosed is a method of manufacturing an array substrate using the composition for removing a photoresist.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: December 27, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Hyun Choung, Hong-Sick Park, Sun-Young Hong, Bong-Kyun Kim, Byeoung-Jin Lee, Byung-Uk Kim, Jong-Hyun Jeong, Suk-Il Yoon, Sung-Gun Shin, Soon-Beom Huh, Se-Hwan Jung, Doo-Young Jang
  • Patent number: 8084185
    Abstract: The present invention relates to planarization materials and methods of using the same for substrate planarization in photolithography. A planarization layer of a planarization composition is formed on a substrate. The planarization composition contains at least one aromatic monomer and at least one non-aromatic monomer. A substantially flat surface is brought into contact with the planarization layer. The planarization layer is cured by exposing to a first radiation or by baking. The substantially flat surface is then removed. A photoresist layer is formed on the planarization layer. The photoresist layer is exposed to a second radiation followed by development to form a relief image in the photoresist layer. The relief image is then transferred into the substrate.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: December 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sean D. Burns, Colin J. Brodsky, Ryan L. Burns
  • Patent number: 8057982
    Abstract: A pattern is formed by applying a positive resist composition comprising a polymer comprising hydroxyalkylnaphthalene-bearing recurring units and acid labile group-bearing recurring units onto a substrate to form a resist film, heat treating and exposing the resist film to radiation, heat treating and developing the resist film with a developer to form a first pattern, and causing the resist film to crosslink and cure with the aid of heat or of acid and heat. A second pattern is then formed in the space area of the first pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takeshi Kinsho, Masaki Ohashi, Kazuhiro Katayama
  • Patent number: 8053164
    Abstract: The present invention relates to a resist composition with a hardener and a solvent, and a method for forming a pattern using the resist composition. The hardener has a thermal-decomposable core part, and a first photosensitive bond art. The solvent has a low-molecular resin, and a second photosensitive bond part.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bo-Sung Kim, Seung-Jun Lee, Jung-Mok Bae
  • Patent number: 8053180
    Abstract: In a developing method for performing developing treatment of a substrate by supplying a developing solution onto a resist film formed on a surface of the substrate, the present invention controls a zeta potential of the surface of the substrate at a predetermined potential in the same polarity as that of a zeta potential of insoluble substances floating in the developing solution, thereby preventing or reducing the adhesion of the insoluble substances to the resist film and the substrate. This remedies the occurrence of development defects. The adhesion of the insoluble substances to the resist film and the substrate can also be prevented or inhibited by supplying an acid liquid to a liquid on the substrate, or controlling a pH value of the liquid on the substrate to control an absolute value of the zeta potential of the insoluble substances.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yuko Ono, Junichi Kitano
  • Patent number: 8053163
    Abstract: For patterning during integrated circuit fabrication, an image layer is activated for forming a respective first type polymer block at each of two nearest activated areas. A layer of block copolymer is formed on the image layer, and a plurality of the first type polymer blocks and a plurality of second and third types of polymer blocks are formed on an area of the image layer between outer edges of the two nearest activated areas, from the block copolymer. At least one of the first, second, and third types of polymer blocks are removed to form a variety of mask structures.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shi-Yong Yi, Kyoung-Taek Kim, Hyun-Woo Kim, Dong-Ki Yoon
  • Patent number: 8048611
    Abstract: A polyorganosiloxane compound is modified such that some silicon-bonded hydroxyl groups are substituted with acid labile groups and/or intermolecular or intramolecular crosslinks form with a crosslinking group having a C—O—C linkage. Cured films of a composition comprising the polyorganosiloxane are useful as interlayer dielectric films on TFT substrates.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: November 1, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hideto Kato, Tomoyoshi Furihata, Masahiro Furuya, Yoshinori Hirano
  • Patent number: 8043795
    Abstract: Disclosed is a method of forming a resist pattern, including: applying a positive resist composition on a support 1 to form a first resist film 2; selectively exposing the first resist film 2 through a first mask pattern, and developing it to form a first resist pattern 3; applying a negative resist composition including an organic solvent (S?) containing an alcohol-based organic solvent on the support 1 that the first resist pattern 3 is formed, thereby forming a second resist film 6; and selectively exposing the second resist film 6 through a second mask pattern, and developing it to form a resist pattern denser than the first resist pattern 3.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: October 25, 2011
    Assignee: Tokyo Ohika Kogyo Co., Ltd.
    Inventor: Jun Iwashita