Finishing Or Perfecting Composition Or Product Patents (Class 430/331)
  • Patent number: 8043794
    Abstract: A method of double patterning is disclosed. The method includes forming a first photosensitive layer; exposing the first photosensitive layer using a first reticle; developing the first photosensitive layer thereby forming a first image pattern including first elements; forming a second photosensitive layer; exposing the second photosensitive layer using the first reticle; and developing the second photosensitive layer thereby forming a second image pattern.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: October 25, 2011
    Assignee: Qimonda AG
    Inventors: Christoph Noelscher, Yi-Ming Chiu, Yuan-Hsun Wu
  • Patent number: 8043800
    Abstract: A photosensitive material for forming a conductive film including a silver salt-containing emulsion layer, and a conductive layer containing conductive fibers, wherein the amount of the conductive fibers in the conductive layer is 0.005 g/m2 to 0.2 g/m2.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: October 25, 2011
    Assignee: FUJIFILM Corporation
    Inventor: Kenji Naoi
  • Patent number: 8039195
    Abstract: A method of lithography patterning includes forming a resist pattern on a substrate, the resist pattern including at least one desired opening and at least one padding opening therein on the substrate; forming a patterned photosensitive material layer on the resist pattern and the substrate, wherein the patterned photosensitive material layer covers the padding opening of the resist pattern; and applying a resolution enhancement lithography by assist of chemical shrink (RELACS) process to the desired opening of the resist pattern.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: October 18, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jen-Chieh Shih, Hsiao-Wei Yeh
  • Patent number: 8039196
    Abstract: A method of patterning a substrate includes processing first regions of the substrate to form a first pattern, the first regions defining a second region between adjacent first regions, arranging a block copolymer on the first and second regions, the block copolymer including a first component and a second component, the first component of the block copolymer being aligned on the first regions, and selectively removing one of the first component and the second component of the block copolymer to form a second pattern having a pitch that is less than a pitch of a first region and an adjacent second region.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: October 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung Taek Kim, Hyun Woo Kim, Sang Ouk Kim, Shi Yong Yi, Seong Woon Choi
  • Patent number: 8034547
    Abstract: A pattern forming method includes (a) coating a substrate with a resist composition including a resin that includes a repeating unit represented by a following general formula (NGH-1), and, by the action of an acid, increases the polarity and decreases the solubility in a negative developing solution; (b) exposing; and (d) developing with a negative developing solution: wherein RNGH1 represents a hydrogen atom or an alkyl group; and RNGH2 to RNGH4 each independently represents a hydrogen atom or a hydroxyl group, provided that at least one of RNGH2 to RNGH4 represents a hydroxyl group.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: October 11, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinji Tarutani, Kazuyoshi Mizutani, Kenji Wada, Wataru Hoshino
  • Patent number: 8029974
    Abstract: There is disclosed a thermosetting metal oxide-containing film-forming composition for forming a metal oxide-containing film to be formed in a multilayer resist process used in lithography, the thermosetting metal oxide-containing film-forming composition comprising, at least: (A) a metal oxide-containing compound obtained by hydrolytic condensation of a hydrolyzable silicon compound and a hydrolyzable metal compound; (B) a thermal crosslinking accelerator; (C) a monovalent, divalent, or higher organic acid having 1 to 30 carbon atoms; (D) a trivalent or higher alcohol; and (E) an organic solvent.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: October 4, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano
  • Publication number: 20110236832
    Abstract: A lithographic processing solution having a pH of less than 12 and comprising at least 0.001 and up to and including 1 weight % of a water-soluble or water-dispersible, non-IR-sensitive compound that has a heterocyclic moiety with a quaternary nitrogen in the 1-position of the heterocyclic ring, and having one or more electron donating substituents attached to the heterocyclic ring, at least one of which electron donating substituents is attached in the 2-position. The processing solution can be used to develop both single-layer and multi-layer positive-working lithographic printing plate precursors that have been imaged using infrared radiation.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 29, 2011
    Inventors: Celin Savariar-Hauck, Gerhard Hauck, Moshe Nakash
  • Patent number: 8026038
    Abstract: A metal oxide-containing film is formed from a heat curable composition comprising (A) a metal oxide-containing compound obtained through hydrolytic condensation between a hydrolyzable silicon compound and a hydrolyzable metal compound, (B) a hydroxide or organic acid salt of Li, Na, K, Rb or Cs, or a sulfonium, iodonium or ammonium compound, (C) an organic acid, and (D) an organic solvent. The metal oxide-containing film ensures effective pattern formation.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: September 27, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano, Mutsuo Nakashima
  • Patent number: 8026047
    Abstract: A fine and high-accuracy pattern, which is also excellent in either or both of high sensitivity and etching resistance can be provided. Disclosed is a resist pattern forming method in which a single- or multi-layered film 2 is formed on a substrate 1 and a resist pattern is formed on the film 2 through a lithography technique including exposure and development, the method comprising performing supercritical processing in which the film 2 is brought into contact with a supercritical processing solution 5? in which an organic matter 4 is dissolved before the exposure or development.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: September 27, 2011
    Assignees: Nippon Telegraph and Telephone Corporation, Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Namatsu, Mitsuru Sato
  • Publication number: 20110223540
    Abstract: The process for improving the scratch-resistance of a lithographic printing plate, comprising: applying an aqueous composition comprising inorganic, non-metallic, inert particles composed of silica, alumina or titanium dioxide and having an average particle size of from 1 nm to 0.5 ?m, to an exposed and optionally developed lithographic printing plate precursor.
    Type: Application
    Filed: December 2, 2009
    Publication date: September 15, 2011
    Inventors: Celin Savariar-Hauck, Joachim Pengler
  • Patent number: 8017298
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film having a receding contact angle of 70 degrees or above with respect to water, wherein the resist composition for negative development contains a resin capable of increasing the polarity by the action of an acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) exposing the resist film via an immersion medium, and (c) performing development with a negative developer.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: September 13, 2011
    Assignee: Fujifilm Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 8017304
    Abstract: A pattern forming method comprising a step of applying a resist composition whose solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation and which contains a resin having an alicyclic hydrocarbon structure and a dispersity of 1.7 or less and being capable of increasing the polarity by the action of an acid, an exposure step, and a development step using a negative tone developer; a resist composition for use in the method; and a developer and a rinsing solution for use in the method, are provided, whereby a pattern with reduced line edge roughness and high dimensional uniformity can be formed.
    Type: Grant
    Filed: October 13, 2009
    Date of Patent: September 13, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Shinji Tarutani, Hideaki Tsubaki, Kazuyoshi Mizutani, Kenji Wada, Wataru Hoshino
  • Patent number: 8003293
    Abstract: A deliberately engineered placement and size constraint (molecular weight distribution) of photoacid generators, solubility switches, photoimageable species, and quenchers forms individual pixels within a photoresist. Upon irradiation, a self-contained reaction occurs within each of the individual pixels that were irradiated to pattern the photoresist. These pixels may take on a variety of forms including a polymer chain, a bulky cluster, a micelle, or a micelle formed of several polymer chains. Furthermore, these pixels may be designed to self-assemble onto the substrate on which the photoresist is applied.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: August 23, 2011
    Assignee: Intel Corporation
    Inventors: Robert P. Meagley, Michael D. Goodner, Bob E. Leet, Michael L. McSwiney
  • Patent number: 8003295
    Abstract: A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern, the first positive resist composition comprising a polymer having copolymerized recurring units having naphthol and recurring units with an alkaline solubility that increases under the action of acid; causing the first resist coating to crosslink and cure by irradiation of high-energy radiation of sub-200 nm wavelength; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: August 23, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 7998655
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: August 16, 2011
    Assignee: FUJIFILM Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 7998664
    Abstract: The present invention provides a resist substrate treating solution and a method for pattern formation using that treating solution, and thereby problems such as foreign substances on the substrate surface, pattern collapse and pattern roughness can be easily solved at the same time. The treating solution comprises water and an alkylene oxide adduct of a primary amine having a hydrocarbon group of 11 to 30 carbon atoms or of ammonia. The method for pattern formation according to the invention comprises a step of treating the developed pattern with that treating solution.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: August 16, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Go Noya, Masakazu Kobayashi, Ryuta Shimazaki
  • Patent number: 7994108
    Abstract: An aqueous-based composition and process for removing hardened photoresist and/or bottom anti-reflective coating (BARC) material from a microelectronic device having same thereon. The aqueous-based composition includes at least one chaotropic solute, at least one alkaline base, and deionized water. The composition achieves high-efficiency removal of hardened photoresist and/or BARC material in the manufacture of integrated circuitry without adverse effect to metal species on the substrate, such as copper, and without damage to low-k dielectric materials employed in the microelectronic device architecture.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: August 9, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David W. Minsek, Weihua Wang, David D. Bernhard, Thomas H. Baum, Melissa K. Rath
  • Patent number: 7989143
    Abstract: An electrode substrate in which a lower electrode and an upper electrode are well positioned by way of an insulating film could not be formed by a printing method since positional displacement is caused. The cost was increased outstandingly when using photomasks for positioning. In the present invention, positional displacement does not occur even when using the printing method since the upper electrode and the lower electrode are positioned in self-alignment. Accordingly, a semiconductor device such as a flexible substrate using an organic semiconductor can be formed with low cost by using the printing method.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: August 2, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Tadashi Arai, Takeo Shiba, Masahiko Ando, Kazuyoshi Torii
  • Patent number: 7989156
    Abstract: A substrate treatment apparatus which uniformly forms a fine resist pattern with a desired dimension within a plane of a substrate is disclosed. In a solvent vapor supply unit, a solvent vapor discharge nozzle is provided which can discharge a solvent vapor for swelling a resist pattern while moving above the front surface of a wafer. The wafer for which developing treatment has been finished and on which a resist pattern has been formed is carried into the solvent vapor supply unit, and the solvent vapor discharge nozzle is moved above the front surface of the wafer, so that the solvent vapor discharge nozzle supplies the solvent vapor onto the front surface of the wafer. This uniformly supplies a predetermined amount of solvent vapor to the resist pattern on the front surface of the wafer. As a result, the solvent vapor causes the resist pattern to evenly swell by a predetermined dimension, so that a resist pattern with a desired dimension is finally uniformly formed within the plane of the wafer.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 7977039
    Abstract: In the present invention, in a rinse treatment method of cleaning a substrate after an exposed pattern thereon has been subjected to developing treatment, the following steps are performed such as supplying pure water onto the substrate to clean the substrate with the pure water; supplying a first rinse solution composed of a surfactant with a predetermined concentration onto the substrate to clean the substrate with the first rinse solution; and supplying a second rinse solution composed of a surfactant with a concentration lower than that of the first rinse solution onto the substrate to clean the substrate with the second rinse solution. According to the present invention, in the rinse treatment of the substrate after developing treatment, it is possible to dry the substrate without causing pattern collapse to restrain variation in pattern line width, and to reduce the remaining precipitation-based defects to increase the productivity.
    Type: Grant
    Filed: September 6, 2006
    Date of Patent: July 12, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Shimoaoki, Junichi Kitano
  • Patent number: 7977038
    Abstract: In the present invention, the position of a substrate on a thermal plate is detected when baking after exposure is performed in a first round of patterning. In a second round of patterning, the setting position of the substrate is adjusted based on a detection result of the position before the substrate is mounted on the thermal plate in the baking after exposure. In the baking after exposure in the second round of patterning, the substrate is mounted at the same position with respect to the thermal plate as that in the baking after exposure in the first round of patterning. In performing a plurality of rounds of patterning on a film to be processed, a pattern with a desired dimension is finally formed above the substrate, and the uniformity of the pattern dimension within the substrate is ensured.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: July 12, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Takahisa Otsuka
  • Publication number: 20110165523
    Abstract: The present invention provides a resist substrate treating solution and a method employing the solution for treating a resist substrate. This treating solution enables to remove efficiently resist residues remaining on a surface of the resist substrate after development, and further to miniaturize a resist pattern. The solution is used for treating a resist substrate having a developed photoresist pattern, and comprises a solvent incapable of dissolving the photoresist pattern and a polymer soluble in the solvent. The developed resist substrate is brought into contact with the treating solution, and then washed with a rinse solution such as water to remove efficiently resist residues remaining on the resist substrate surface. The solvent and the polymer are preferably water and a water-soluble polymer, respectively.
    Type: Application
    Filed: September 14, 2009
    Publication date: July 7, 2011
    Inventors: Xiaowei Wang, Wenbing Kang, Tomohide Katayama, Yuriko Matsuura, Tohru Koike
  • Publication number: 20110159447
    Abstract: Firstly, to provide a developing solution for photolithography in which tetrabutylammonium hydroxide (TBAH) is used as an alkaline agent of the developing solution and deposition of TBAH is suppressed. Secondary, to provide a method for producing a developing solution for photolithography capable of suppressing TBAH deposition when producing the developing solution by diluting a concentrated developing solution containing TBAH and a production apparatus used for the production method. The present invention is firstly a developing solution for photolithography comprising tetrabutylammonium hydroxide (A), and at least one selected from the group consisting of a water-soluble organic solvent (B1), a surfactant (B2), and a clathrate compound (B3). The present invention is secondary characterized by maintaining the temperature of liquid at 27° C. or higher during dilution.
    Type: Application
    Filed: December 16, 2010
    Publication date: June 30, 2011
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tomoya KUMAGAI, Naohisa UENO, Jun KOSHIYAMA
  • Patent number: 7968278
    Abstract: A rinsing method for performing a rinsing process on a substrate, after a developing process is performed on a light-exposed pattern disposed thereon, includes a step (STEP 5) of throwing off a developing solution from the substrate after development; a step (STEP 6) of supplying a water-based cleaning liquid onto the substrate; a step (STEP 7) of supplying a surfactant-containing rinsing liquid onto the substrate to replace liquid remaining on the substrate with the surfactant-containing rinsing liquid; and a step (STEP 8) of rotating the substrate to expand and throw off the surfactant-containing rinsing liquid on the substrate. STEP 8 is arranged to supply the surfactant-containing rinsing liquid for a supply time of 5 seconds or less. STEP 9 is arranged to include a first period with a lower rotation number and a second period with a higher rotation number, and to set the rotation number of the substrate in the first period to be more than 300 rpm and less than 1,000 rpm.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: June 28, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiro Takaki, Osamu Miyahara, Keiichi Tanaka, Shinya Wakamizu, Takashi Terada
  • Publication number: 20110143281
    Abstract: In one aspect, the present invention relates to coating compositions that comprise a resin component, wherein the predominant portion of the resin component comprising one or more resins that are at least substantially free of fluorine. Coating compositions of the invention are useful as photoresist overcoat layers, including in immersion lithography processing.
    Type: Application
    Filed: August 17, 2010
    Publication date: June 16, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan WANG, Peter Trefonas, III, Michael K. Gallagher
  • Patent number: 7960090
    Abstract: A pattern forming method includes a step of forming a pattern of a resist on a surface of a thin film formed on the base material; a step of forming a reverse layer on the pattern of the resist; a step of forming a reverse pattern, of the reverse layer complementary to the pattern of the resist by removing the resist after removing the reverse layer to expose a surface of the resist; a step of forming a hard mask layer including the thin film, on which the reverse layer is formed, by etching the thin film through the reverse pattern of the reverse layer as a mask; and a step of etching the base material through, as a mask, the hard mask layer on which the reverse layer remains or the hard mask layer on which the reverse layer has been removed.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: June 14, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsunori Terasaki, Junichi Seki
  • Patent number: 7960091
    Abstract: A resist composition comprising a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure, and a nitrogen-containing organic compound (D), the nitrogen-containing organic compound (D) including a nitrogen-containing polymeric compound (D1) having a structural unit (d0) containing a nitrogen atom in the side chain thereof.
    Type: Grant
    Filed: January 19, 2009
    Date of Patent: June 14, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hiroaki Shimizu, Tsuyoshi Nakamura, Takahiro Dazai
  • Publication number: 20110136064
    Abstract: A method for filtering a fluid to obtain a fluid having a known purity is described. The fluid is filtered with a filtration system, and upstream of a final filtration stage of the filtration system, a purity of the fluid is measured. A purity of the fluid filtered by the filtration system is determined by correcting the measured purity with a filtration behavior of the final filtration stage. In an embodiment, the fluid comprises an ultra pure water for use as an immersion liquid in a lithographic apparatus.
    Type: Application
    Filed: February 17, 2011
    Publication date: June 9, 2011
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Martinus Cornelis Maria Verhagen, Roelof Frederik De Graaf, Johannes Henricus Wilhelmus Jacobs, Hans Jansen, Marco Koert Stavenga, Jacobus Johannus Leonardus Hendricus Verspay
  • Patent number: 7955783
    Abstract: A method for masking regions of photoresist in the manufacture of a soldermask for printed circuit boards is disclosed. Following application of photoresist over patterned traces on a substrate, a sheet-like thin film is applied over the photosensitive material. The thin film may adhere to the photosensitive material by way of the adhesive state of the photosensitive material or by way of an adhesive applied to the photosensitive material or the thin film or carried by the thin film. Digital mask printing may proceed on the surface of the thin film. The photosensitive material may then be exposed through the printed photomask, the thin film (with photomask) removed, and the photosensitive material developed.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: June 7, 2011
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Eric Shrader, Uma Srinivasan, Clark Crawford, Scott Limb
  • Patent number: 7939244
    Abstract: New hardmask compositions comprising non-polymeric, metal-containing nanoparticles dispersed or dissolved in a solvent system and methods of using those compositions as hardmask layers in microelectronic structures are provided. The compositions are photosensitive and capable of being rendered developer soluble upon exposure to radiation. The inventive hardmask layer is patterned simultaneously with the photoresist layer and provides plasma etch resistance for subsequent pattern transfer.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: May 10, 2011
    Assignee: Brewer Science Inc.
    Inventors: Hao Xu, Ramil-Marcelo L. Mercado, Douglas J. Guerrero
  • Patent number: 7939242
    Abstract: A barrier film material includes, in addition to an alkali-soluble polymer, a multivalent carboxylic acid compound having a plurality of carboxyl groups or a multivalent alcohol compound. Thus, the multivalent carboxylic acid compound or the multivalent alcohol compound is adhered onto the surface of a resist film, and hence, particles having been adhered to the surface of the resist film are removed in removing the barrier film. Also, in the case where the barrier film is removed simultaneously with development, the resist film can be prevented from remaining partly undissolved.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: May 10, 2011
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endo, Masaru Sasago
  • Patent number: 7935776
    Abstract: The present invention relates to a radiation curable and developable polyurethane which is characterized by having a carboxy group in its main chain and a acryloyl group in its side chain and comprising the following repeat units (I), (II), and (II) in a random arrangement: wherein R1, R2, R3, R4, and T are defined in the specification. The polyurethane has a weight molecular weight measured by GPC in a range of from 3,000 to 400,000; an acid value in a range of from 5 to 120 mgKOH/g. The present invention also relates to a radiation curable and developable composition containing the polyurethane.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: May 3, 2011
    Assignee: AGI Corporation
    Inventors: Wei Hsiang Huang, Ying Jen Chen, Jui Ming Chang, Chun Hung Kuo, Hong Ye Lin, Li Chung Chang
  • Publication number: 20110091408
    Abstract: Fluoroalkyl phosphates containing a tertiary carbon and a nonfluorinated chain are useful as surfactants and additives. The f can be used to alter a surface property of a medium and to provide resistance to blocking, open time extension, or oil repellency to a substrate.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 21, 2011
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventor: Anilkumar Raghavanpillai
  • Patent number: 7923200
    Abstract: The present invention relates to an aqueous coating composition for coating a photoresist pattern comprising a polymer containing a lactam group of structure (1) where R1 is independently selected hydrogen, C1-C4 alkyl, C1-C6 alkyl alcohol, hydroxy (OH), amine (NH2), carboxylic acid, and amide (CONH2), ?represents the attachment to the polymer, m=1-6, and n=1-4. The present invention also relates to a process for manufacturing a microelectronic device comprising providing a substrate with a photoresist pattern, coating the photoresist pattern with the novel coating material reacting a portion of the coating material in contact with the photoresist pattern, and removing a portion of the coating material which is not reacted with a removal solution.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: April 12, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Muthiah Thiyagarajan, Ralph R. Dammel, Yi Cao, SungEun Hong, WenBing Kang, Clement Anyadiegwu
  • Patent number: 7923194
    Abstract: Compositions, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary composition, among others, includes a polymer and a catalytic amount of a negative tone photoinitiator.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: April 12, 2011
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, Paul J. Joseph, Hollie K. Reed, Sue Ann Bidstrup-Allen, Celesta E. White, Clifford Henderson
  • Patent number: 7910290
    Abstract: A method of forming an image using a topcoat composition. A composition that includes functionalized polyhedral oligomeric silsesquioxanes derivatives of the formulas TmR3 where m is equal to 8, 10 or 12 and QnMnR1,R2,R3 where n is equal to 8, 10 or 12 are provided. The functional groups include aqueous base soluble moieties. Mixtures of the functionalized polyhedral oligomeric silsesquioxanes derivatives are highly suitable as a topcoat for photoresist in photolithography and immersion photolithography applications.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Ratnam Sooriyakumaran, Linda Karin Sundberg
  • Patent number: 7906274
    Abstract: A method of forming a lithographic template, the method including, inter alia, creating a multi-layered structure, by forming, on a body, a conducting layer, and forming on the conducting layer, a patterned layer having protrusions and recessions, the recessions exposing portions of the conducting layer; depositing a hard mask material anisotropically on the multi-layered structure covering a top surface of the patterned layer and the portions of the conducting layer; removing the patterned layer by a lift-off process, with the hard mask material remaining on the portions of the conducting layer; positioning a resist pattern on the multi-layered structure to define a region of the multi-layered structure; and selectively removing portions of the multi-layered structure in superimposition with the region using the hard mask material as an etching mask.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: March 15, 2011
    Assignee: Molecular Imprints, Inc.
    Inventors: Gerard M. Schmid, Douglas J. Resnick, Michael N. Miller
  • Patent number: 7901866
    Abstract: A pattern forming method includes a step of forming a photosensitive organic material layer by providing, on a substrate, a photosensitive organic material which is protected by a hydrophobic photodegradable group and is capable of generating a hydrophilic group selected from the group consisting of amino group, hydroxyl group, carboxyl group, and sulfo group by light irradiation; a step of selectively exposing the photosensitive organic material layer to light in a pattern to generate the hydrophilic group at an exposed portion; a step of providing a block polymer having a hydrophilic segment and a hydrophobic segment, on the photosensitive organic material layer after the exposure, to separate segments of the block polymer into the hydrophilic segment at a portion where the hydrophilic group generated by the exposure is present and the hydrophobic segment at a portion where the hydrophilic group is not present; and a step of removing one of the separated segments to form a pattern of the other segment.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: March 8, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventor: Toshiki Ito
  • Patent number: 7901864
    Abstract: A radiation-sensitive composition (and method of fabricating a device using the composition) includes a nonpolymeric silsesquioxane including at least one acid labile moiety, a polymer including at least one member selected from the group consisting of an aqueous base soluble moiety and an acid labile moiety, and a radiation-sensitive acid generator. Another radiation-senstive composition (and method of fabricating a device using the composition) includes a nonpolymerc silsesquioxane including at least one aqueous base soluble moiety, a polymer including an aqueous base soluble moiety, a crosslinker, and a radiation-sensitive acid generator.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Marie Angelopoulos, Timothy A. Brunner, Dirk Pfeiffer, Ratnam Sooriyakumaran
  • Publication number: 20110053090
    Abstract: A processing solution is used to provide flexographic relief printing plates. This solution includes diisopropylbenzene, and one or more organic co-solvents, at least one of which is an aliphatic dibasic acid ester. The processing solution may also include one or more alcohols as co-solvents.
    Type: Application
    Filed: August 25, 2009
    Publication date: March 3, 2011
    Inventors: Elsie A. Fohrenkamm, M. Zaki Ali, Michael B. Heller
  • Patent number: 7897325
    Abstract: The invention provides a novel rinse solution used in the step of rinse treatment of a patterned photoresist layer developed with an aqueous alkaline developer solution in a photolithographic process for the manufacture of semiconductor devices and liquid crystal display panels. The rinse solution provided by the invention is an aqueous solution of a nitrogen-containing heterocyclic compound such as imidazoline, pyridine and the like in a concentration up to 10% by mass. Optionally, the rinse solution of the invention further contains a water-miscible alcoholic or glycolic organic solvent and/or a water-soluble resin. The invention also provides a lithographic method for the formation of a patterned photoresist layer including a step of rinse treatment of an alkali-developed resist layer with the rinse solution defined above. The invention provides an improvement on the lithographic process in respect of the product quality and efficiency of the process.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: March 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshihiro Sawada, Jun Koshiyama, Kazumasa Wakiya, Atsushi Miyamoto, Hidekazu Tajima
  • Patent number: 7887992
    Abstract: Disclosed is a photosensitive paste comprising a polymerizable monomer and a photopolymerization initiator, wherein the glass transition temperature of the polymerizable monomer is ?10° C. or less. The present paste may be used for creating a fine pattern and prevents residue from remaining in the area where paste should be removed.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: February 15, 2011
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Kazushige Ito, Hiroaki Noda
  • Patent number: 7887996
    Abstract: Pattern transfer is achieved by forming a first patterned hard mask layer with a circuit pattern and a plurality of dummy patterns on a substrate, forming a second pattern mask layer on the substrate, exposing the circuit pattern of the first pattern mask layer, and removing a portion of the substrate exposed by the first patterned mask layer, so as to transfer the circuit pattern to the substrate.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: February 15, 2011
    Assignee: Nanya Technology Corp.
    Inventors: Hung-Jen Liu, Cheng-Ku Chiang
  • Patent number: 7883840
    Abstract: In a developing method, a developer is supplied onto a resist film provided on a substrate, made of a resist and having an upper surface on which design patterns having different mask opening ratios are exposed and a development reaction is caused to proceed on the resist film with the supplied developer. After the development, the substrate is rotated so that the developer and the resist dissolved in the developer are removed. Then, a rinsing solution is supplied onto the resist film subjected to development and the substrate is rotated, thereby washing out the developer and the resist dissolved in the developer. The rotation speed of the substrate in removing the developer is a half or less of the rotation speed of the substrate in the rinsing step of washing out the resist.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: February 8, 2011
    Assignee: Panasonic Corporation
    Inventors: Hidekazu Kitahara, Kenji Noda, Kenichi Asahi, Naohiko Ujimaru, Hirofumi Fukumoto
  • Patent number: 7879525
    Abstract: There are provided a stable chemically amplified photoresist composition that undergoes no change in alkali solubility prior to irradiation, a photoresist laminated product produced by laminating the photoresist composition onto a support, and a manufacturing method for a photoresist pattern and a manufacturing method for a connection terminal that use the photoresist composition and the laminated product. A chemically amplified photoresist composition is provided comprising (a) a resin that undergoes a change in alkali solubility under the action of acid, (b) a compound that generates acid on irradiation, and (c) a corrosion inhibitor.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: February 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yasushi Washio, Koji Saito
  • Patent number: 7875420
    Abstract: To improve the surface roughness of a resist film formed on a wafer. In a coating and developing treatment system, a wafer W on which a resist film has been formed and subjected to exposure and developing treatment is adjusted to a predetermined temperature. A solvent gas is supplied to the surface of the temperature-adjusted wafer W to dissolve the surface of the resist film. The wafer W is then heated to volatilize the solvent in the resist film to thereby heat shrink the resist film. This levels the projections and depressions on the surface of the resist film so as to improve the surface roughness of the resist film.
    Type: Grant
    Filed: May 31, 2004
    Date of Patent: January 25, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 7875416
    Abstract: A liquid-type composition for forming a photosensitive polymer complex and a method of preparing a photosensitive polymer complex containing silver nanoparticles using the same are provided. The composition for forming a photosensitive polymer complex includes a multifunctional epoxy resin, a photoacid generator, an organic solvent and a silver compound, or additionally includes a multifunctional acrylate resin and a photoinitiator, or an additive, e.g., a surfactant or a flow improver. This composition is applied, selectively exposed, and developed, thus preparing a photosensitive polymer complex, which contains silver nanoparticles uniformly dispersed and formed in the polymer pattern portion thereof through photo reduction and is therefore improved in terms of physical or chemical properties, e.g., heat resistance and wear resistance.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: January 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong Jin Park, Byung Ha Park, Young Ung Ha
  • Patent number: 7867693
    Abstract: Methods for forming device structures on a wafer are provided. One method includes transferring approximately an inverse of patterned features formed in a positive resist layer on the wafer to a device material on the wafer to form the device structures in the device material. Another method includes transferring approximately an inverse of patterned features formed in a sacrificial layer on the wafer to a device material on the wafer to form the device structures in the device material.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: January 11, 2011
    Assignee: KLA-Tencor Technologies Corp.
    Inventor: Walter D. Mieher
  • Patent number: 7867686
    Abstract: A method for electroless plating of metal on a laser-patterned substrate. A substrate is provided on which both a thermal imaging layer and catalytic layer are deposited. On exposure to a laser beam, sufficient levels of radiation are converted to heat in the thermal imaging layer to render the exposed regions of the adjacent catalytic layer inactive. The laser-patterned substrate is then exposed to a reaction solution which initiates the growth of a metal film on the unexposed regions of the catalytic layer.
    Type: Grant
    Filed: February 10, 2005
    Date of Patent: January 11, 2011
    Assignees: Plastic Logic Limited, Conductive Inkjet Technology Limited
    Inventors: Michael J. Banach, John Mills, James Watts, Alan Lionel Hudd, James Edward Fox, Philip Gareth Bentley
  • Patent number: RE42128
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 8, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe