Radiation Mask Patents (Class 430/5)
  • Patent number: 10249696
    Abstract: A display device includes: a substrate; a plurality of pixels provided in a pixel region of the substrate; a scan line and a data line, connected to each of the plurality of pixels; a first transistor connected to the scan line and the data line and a second transistor connected to the first transistor; a light emitting element connected to the transistor; a first blocking layer disposed between the substrate and the first transistor, the first blocking layer being electrically connected to the first transistor; and a second blocking layer disposed between the substrate and the second transistor, the second blocking layer being electrically connected to the second transistor, wherein the first blocking layer is connected to a gate electrode of the first transistor, and the second blocking layer is connected to any one of source and drain electrodes of the second transistor.
    Type: Grant
    Filed: November 17, 2017
    Date of Patent: April 2, 2019
    Assignee: Samsung Display Co., Ltd.
    Inventors: Il Joo Kim, Cheol Gon Lee, Mee Hye Jung
  • Patent number: 10241393
    Abstract: There is provided a pellicle wherein each edge area where the inner wall of the pellicle frame meets the upper end face or the lower end face, respectively, of the pellicle frame consists not only of the conventional single chamfer face but also of additional attempt(s) to make the edge area virtually rounded, such as additional chamfering(s) or rounding, whereby the edge area is devoid of cracking and scars.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: March 26, 2019
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Yuichi Hamada
  • Patent number: 10241390
    Abstract: To provide a reflective mask blank having pseudo defects significantly excluded. The reflective mask blank comprises a substrate, a reflective layer for reflecting EUV light, formed on the substrate, and an absorber layer for absorbing EUV light, formed on the reflective layer, wherein Ssk<1.0 is satisfied, where Ssk is skewness in a region of 1 ?m×1 ?m on the absorber layer side surface.
    Type: Grant
    Filed: February 3, 2017
    Date of Patent: March 26, 2019
    Assignee: AGC Inc.
    Inventor: Hiroshi Hanekawa
  • Patent number: 10241406
    Abstract: A resist composition containing a resin component having a structural unit represented by general formula (a0-1), and a compound represented by general formula (b1). In general formula (a0-1), R is a hydrogen atom, an alkyl group, or a halogenated alkyl group. Va1 is a divalent hydrocarbon group. na1 represents an integer of 0 to 2. Ra?12 and Ra?13 are a monovalent chain saturated hydrocarbon group having 1 to 10 carbon atoms or a hydrogen atom. Ra?14 is a phenyl group, a naphthyl group, or an anthryl group. In general formula (b1), Rb1 represents a cyclic hydrocarbon group. Yb1 represents a divalent linking group containing an ester bond. Vb1 represents an alkylene group, a fluorinated alkylene group, or a single bond. m is an integer of 1 or more, and Mm+ is an m-valent organic cation.
    Type: Grant
    Filed: June 21, 2017
    Date of Patent: March 26, 2019
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Masahito Yahagi, Issei Suzuki, Yuki Fukumura, Toshikazu Takayama, Takashi Kamizono, Tatsuya Fujii
  • Patent number: 10228615
    Abstract: A membrane transmissive to EUV radiation, which may be used as a pellicle or spectral filter in a lithographic apparatus. The membrane includes one or more high doped regions wherein the membrane is doped with a dopant concentration greater than 1017 cm?3, and one or more regions with low (or no) doping. The membrane may have a main substrate having low doping and one or more additional layers, wherein the high doped regions are comprised within some or all of the additional layers.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: March 12, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Andrey Alexandrovich Nikipelov, Vadim Yevgenyevich Banine, Jozef Petrus Henricus Benschop, Arjen Boogaard, Florian Didier Albin Dhalluin, Alexey Sergeevich Kuznetsov, Mária Péter, Luigi Scaccabarozzi, Willem Joan Van Der Zande, Pieter-Jan Van Zwol, Andrei Mikhailovich Yakunin
  • Patent number: 10222691
    Abstract: A photomask includes a reticle substrate, a main pattern disposed on the reticle substrate and defining a photoresist pattern realized on a semiconductor substrate, and anti-reflection patterns adjacent to the main pattern. A distance between a pair of the anti-reflection patterns adjacent to each other is a first length, and a width of at least one of the pair of anti-reflection patterns is a second length. A sum of the first length and the second length is equal to or smaller than a minimum pitch defined by resolution of an exposure process. A distance between the main pattern and the anti-reflection pattern nearest to the main pattern is equal to or smaller than the first length.
    Type: Grant
    Filed: February 13, 2017
    Date of Patent: March 5, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Yang-Nam Kim
  • Patent number: 10222692
    Abstract: A photomask according to the embodiment includes a glass substrate which has a first face and a second face located on a side opposite from the first face. The second face includes a transmission area and a light shielding area corresponding to an exposure pattern of a resist film exposed via the glass substrate. The transmission area is oblique to the first face.
    Type: Grant
    Filed: February 2, 2017
    Date of Patent: March 5, 2019
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Masaki Mae, Suigen Kanda
  • Patent number: 10222689
    Abstract: A mask blank includes a glass substrate including a first main surface and a second main surface, an absorbing film formed above the first main surface, and a conductive film formed on the second main surface. A reflective film is provided between the absorbing film and the glass substrate. In a surface of the conductive film on an opposite side to the glass substrate, when a surface shape of a square central area having a length of 142 mm and a width of 142 mm excluding a four-sided frame-shaped peripheral area thereof is expressed by the specific formula, flatness of a component obtained by summing all aklPk(x)Pl(y) with the sum of k and l being 3 or more and 25 or less is 20 nm or less.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: March 5, 2019
    Assignee: AGC Inc.
    Inventors: Yuzo Okamura, Yusuke Hirabayashi
  • Patent number: 10224178
    Abstract: A method of adjusting a critical dimension of a reticle patterns in a reticle used to fabricate semiconductor devices can include determining respective values for a critical dimension of a plurality of reticle patterns in an image of the reticle and providing an atmospheric plasma to a first reticle pattern included in the plurality of reticle patterns, the first reticle pattern having a first value for the critical dimension that is different than a target value for the critical dimension. The atmospheric plasma may not be provided to second reticle patterns included in the plurality of reticle patterns, the second reticle patterns having a second value for the critical dimension that is about equal to the target value.
    Type: Grant
    Filed: May 19, 2017
    Date of Patent: March 5, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yongseok Jung, Sungwon Kwon, Heebom Kim, Donggun Lee
  • Patent number: 10216096
    Abstract: A lithography system includes an illumination source and a set of projection optics. The illumination source directs a beam of illumination from an off-axis illumination pole to a pattern mask. The pattern mask includes a set of pattern elements to generate a set of diffracted beams including illumination from the illumination pole. At least two diffracted beams of the set of diffracted beams received by the set of projection optics are asymmetrically distributed in a pupil plane of the set of projection optics. The at least two diffracted beams of the set of diffracted beams are asymmetrically incident on the sample to form a set of fabricated elements corresponding to an image of the set of pattern elements. The set of fabricated elements on the sample includes one or more indicators of a location of the sample along an optical axis of the set of projection optics.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: February 26, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Myungjun Lee, Mark D. Smith, Sanjay Kapasi, Stilian Pandev, Dzmitry Sanko, Pradeep Subrahmanyan, Ady Levy
  • Patent number: 10216081
    Abstract: A pellicle frame containing a frame body, the frame body having a groove formed in one end surface of the frame body, the one end surface being an end surface in a thickness direction of the frame body that is located at a side configured to support a pellicle membrane, and a through-hole that penetrates through a portion between an outer circumferential surface of the frame body and a wall surface of the groove formed in the one end surface.
    Type: Grant
    Filed: April 27, 2015
    Date of Patent: February 26, 2019
    Assignee: MITSUI CHEMICALS, INC.
    Inventors: Kazuo Kohmura, Yosuke Ono, Daiki Taneichi, Yasuyuki Sato, Toshiaki Hirota
  • Patent number: 10209613
    Abstract: An integrated extreme ultraviolet (EUV) blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a first deposition system for depositing a planarization layer having a planarized top surface over the substrate; and a second deposition system for depositing a multi-layer stack on the planarization layer without removing the substrate from the vacuum. The EUV blank is in an EUV lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the EUV source; a reticle stage for placing a EUV mask blank with a planarization layer; and a wafer stage for placing a wafer. The EUV blank includes: a substrate; a planarization layer to compensate for imperfections related to the surface of the substrate, the planarization layer having a flat top surface; and a multi-layer stack on the planarization layer.
    Type: Grant
    Filed: May 27, 2016
    Date of Patent: February 19, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Cara Beasley, Ralf Hofmann, Majeed Foad, Timothy Michaelson
  • Patent number: 10209614
    Abstract: A conductive film coated substrate, including a conductive film formed thereon. In a relationship between a bearing area (%) and a bearing depth (nm) that are obtained by measuring, with an atomic force microscope, a region of 1 ?m×1 ?m of a surface of the conductive film, the surface of the conductive film satisfies a relationship that (BA70-BA30)/(BD70-BD30) is 15 or more and 260 or less (%/nm), and a maximum height (Rmax) is 1.3 nm or more and 15 nm or less.
    Type: Grant
    Filed: July 21, 2017
    Date of Patent: February 19, 2019
    Assignee: HOYA CORPORATION
    Inventors: Kazuhiro Hamamoto, Yoichi Usui
  • Patent number: 10209616
    Abstract: The present invention provides a mask plate, relating to a field of exposure technology, which can solve the problem of an existing mask plate that a resolution is limited by an effect of diffraction. The mask plate of the invention includes: a pattern structure, including a light blocking region and a light transmitting region; and a total reflection structure provided at an light-exiting side of the pattern structure, the total reflection structure including a high refraction layer and a first low refraction layer sequentially provided in a direction away from the pattern structure and contacting each other, wherein a refractive index of the high refraction layer is greater than a refractive index of the first low refraction layer.
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: February 19, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., ORDOS YUANSHENG OPTOELECTRONICS CO., LTD.
    Inventors: Chaobo Zhang, Liangliang Liu, Hongwei Tian, Nini Bai, Shuai Han, Feng Kang, Liang Tang, Chuoluopeng, Tiangui Min
  • Patent number: 10209627
    Abstract: A lithography system includes an illumination source, projection optical elements, and a pattern mask. The illumination source includes one or more illumination poles. The pattern mask includes a set of focus-sensitive mask elements distributed with a pitch and, is configured to diffract illumination from the one or more illumination poles. The pitch may be selected such that two diffraction orders of illumination associated with each of the one or more illumination poles are asymmetrically distributed in a pupil plane of the projection optical elements. Further, the projection optical elements may expose a sample with an image of the set of focus-sensitive pattern mask elements based on the two diffraction orders of illumination associated with each of the one or more illumination poles such that one or more printing characteristics is indicative of a position of the sample within a focal volume of the projection optical elements.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: February 19, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Myungjun Lee, Stewart Robertson, Mark D. Smith, Pradeep Subrahmanyan
  • Patent number: 10204894
    Abstract: An integrated circuit layout includes a routing layout of routing conductors and routing connection vias formed prior to a power grid connection which forms power connection vias between power grid conductors and standard-power cell conductors within the standard cells. This enables a minimum via spacing requirement to be met while permitting an increased flexibility in the positioning of the routing connection vias.
    Type: Grant
    Filed: September 8, 2016
    Date of Patent: February 12, 2019
    Assignee: ARM Limited
    Inventor: Marlin Wayne Frederick, Jr.
  • Patent number: 10197907
    Abstract: An extreme ultraviolet (EUV) mask blank production system includes: a substrate handling vacuum chamber for creating a vacuum; a substrate handling platform, in the vacuum, for transporting an ultra-low expansion substrate loaded in the substrate handling vacuum chamber; and multiple sub-chambers, accessed by the substrate handling platform, for forming an EUV mask blank includes: a multi-layer stack, formed above the ultra-low expansion substrate, for reflecting an extreme ultraviolet (EUV) light, and an absorber layer, formed above the multi-layer stack, for absorbing the EUV light at a wavelength of 13.5 nm includes the absorber layer has a thickness of less than 80 nm and less than 2% reflectivity.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: February 5, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Vinayak Vishwanath Hassan, Majeed A. Foad, Cara Beasley, Ralf Hofmann
  • Patent number: 10191366
    Abstract: Disclosed herein is a computer-implemented method to improve a lithographic process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus and for transferring the imaged portion of the design layout to the substrate by an etching process, which includes the following steps: determining a value of at least one evaluation point of the lithographic process for each of a plurality of variations of the etching process; computing a multi-variable cost function of a plurality of design variables that are characteristics of the lithographic process, wherein the multi-variable cost function is a function of deviation from the determined values of the at least one evaluation point; and reconfiguring the characteristics of the lithographic process by adjusting the design variables until a termination condition is satisfied. This method may reduce the need of repeated adjustment to the lithographic process when the etching process varies.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: January 29, 2019
    Assignee: ASML Netherlands B.V.
    Inventor: Xiaofeng Liu
  • Patent number: 10191368
    Abstract: Techniques for delivering sub-5 nm overlay control over multiple fields. One such technique reduces overlay from the wafer side using wafer-thermal actuators. In another technique, the topology of the template is optimized so that the inter-field mechanical coupling between fields in the multi-field template is reduced thereby allowing overlay to be simultaneously reduced in multiple fields in the template. A further technique combines the wafer-thermal and template actuation techniques to achieve significantly improved single and multi-field overlay performance.
    Type: Grant
    Filed: November 4, 2016
    Date of Patent: January 29, 2019
    Assignee: Board of Regents, The University of Texas System
    Inventors: Sidlgata V. Sreenivasan, Paras Ajay, Anshuman Cherala
  • Patent number: 10191365
    Abstract: A reflective mask blank capable of facilitating the discovery of contaminants, scratches and other critical defects by inhibiting the detection of pseudo defects attributable to surface roughness of a substrate or film in a defect inspection using a highly sensitive defect inspection apparatus. The reflective mask blank has a mask blank multilayer film comprising a multilayer reflective film, obtained by alternately laminating a high refractive index layer and a low refractive index layer, and an absorber film on a main surface of a mask blank substrate, wherein the root mean square roughness (Rms), obtained by measuring a 3 ?m×3 ?m region on the surface of the reflective mask blank on which the mask blank multilayer film is formed with an atomic force microscope, is not more than 0.5 nm and the power spectrum density at a spatial frequency of 1 ?m?1 to 10 ?m?1 is not more than 50 nm4.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: January 29, 2019
    Assignee: HOYA CORPORATION
    Inventors: Kazuhiro Hamamoto, Tatsuo Asakawa, Tsutomu Shoki
  • Patent number: 10190992
    Abstract: The purpose of the present invention is to accurately detect structures from a remote location without contact while distinguishing between defects such as cracking, separation, and internal cavities.
    Type: Grant
    Filed: March 14, 2016
    Date of Patent: January 29, 2019
    Assignee: NEC CORPORATION
    Inventor: Hiroshi Imai
  • Patent number: 10185216
    Abstract: The present disclosure discloses a mask sheet and a method of manufacturing a mask sheet, which belong to display technique field. The mask sheet includes a light shielding region and a light transmitting region. The light shielding region includes an adjoining portion which is located at a position where the light shielding region adjoins the light transmitting region, and thickness of other portion of the light shielding region of the mask sheet except the adjoining portion is greater than that of the adjoining portion of the mask sheet.
    Type: Grant
    Filed: February 19, 2016
    Date of Patent: January 22, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Shenjun Zhang, Guofeng Weng
  • Patent number: 10185217
    Abstract: A pellicle frame containing a frame body, the frame body having a groove formed in one end surface of the frame body, the one end surface being an end surface in a thickness direction of the frame body that is located at a side configured to support a pellicle membrane, and a through-hole that penetrates through a portion between an outer circumferential surface of the frame body and a wall surface of the groove formed in the one end surface.
    Type: Grant
    Filed: April 27, 2015
    Date of Patent: January 22, 2019
    Assignee: MITSUI CHEMICALS, INC.
    Inventors: Kazuo Kohmura, Yosuke Ono, Daiki Taneichi, Yasuyuki Sato, Toshiaki Hirota
  • Patent number: 10180622
    Abstract: Provided is a mask blank in which uniformity of the composition and optical characteristics of a phase-shift film in the in-plane direction and direction of film thickness is high, uniformity of the composition and optical characteristics of the phase-shift film between a plurality of substrates is also high, and defectivity is low even if a silicon-based material is applied to the material that forms the phase-shift film.
    Type: Grant
    Filed: March 9, 2017
    Date of Patent: January 15, 2019
    Assignee: HOYA CORPORATION
    Inventors: Hiroaki Shishido, Kazuya Sakai
  • Patent number: 10168613
    Abstract: Provided are a mask blank substrate which has effectively and extremely high principal surface flatness while a reduction in the manufacturing throughput of the mask blank substrate is suppressed, a mask blank, and a transfer mask. Also provided are manufacturing methods therefor. virtual reference surface that becomes an optically effective flat reference surface defined by a Zernike polynomial which is composed of only terms in which the order of a variable related to a radius is the second or lower order, and includes one or more terms in which the order of the variable related to the radius is the second order is set, and a mask blank substrate satisfying the condition that data (PV value) relating to the difference between the maximum value and the minimum value of the difference data between the reference surface and the measured shape of the mask blank substrate is one-eighth or less of an exposure wavelength (?) is selected.
    Type: Grant
    Filed: May 12, 2017
    Date of Patent: January 1, 2019
    Assignee: HOYA CORPORATION
    Inventor: Masaru Tanabe
  • Patent number: 10168612
    Abstract: Methods for manufacturing a photomask, photomask blanks, and photomasks used in chip fabrication. A phase-shift layer is formed on a mask blank, a hardmask layer is formed on the phase-shift layer, and a layer stack is formed on the hardmask layer to make a photomask blank. The layer stack includes a first layer comprised of a first material and a second layer comprised of a second material that can be etched selective to the first material. The first layer is thicker than the second layer, and the first layer is also thicker than the hardmask layer. The photomask blank may be used to make a photomask in which, during manufacture, the hardmask layer is used to pattern mask features in a chip area of the photomask and the thicker of the first layer or the second layer is used to pattern a frame of the photomask.
    Type: Grant
    Filed: December 12, 2016
    Date of Patent: January 1, 2019
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Richard Wistrom
  • Patent number: 10168611
    Abstract: The present disclosure provides a photolithography mask. The photolithography mask includes a substrate that contains a low thermal expansion material (LTEM). A multilayer (ML) structure is disposed over the substrate. The ML structure is configured to reflect radiation. The ML structure contains a plurality of interleaving film pairs. Each film pair includes a first film and a second film. The first film and the second film have different material compositions. Each film pair has a respective thickness. For at least a subset of the plurality of the film pairs, the respective thicknesses of the film pairs change randomly along a predefined direction.
    Type: Grant
    Filed: August 16, 2017
    Date of Patent: January 1, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Tsung Shih, Jeng-Horng Chen, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 10170495
    Abstract: An optical proximity correction (OPC) verifying method including checking a first location of a first pattern in a layout of a stacked memory device, calculating a shift value of the first pattern according to the first location, obtaining a difference value between the first location and a second location of a second pattern formed through an OPC with respect to the first pattern, and determining whether the OPC is to be performed again, based on the shift value and the difference value.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: January 1, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Bum Kim, Sung-Hoon Kim, Woo-Joung Kim, Hyang-Ja Yang
  • Patent number: 10162258
    Abstract: A method for fabricating a pellicle includes forming a first dielectric layer over a back surface of a substrate. After forming the first dielectric layer, and in some embodiments, a graphene layer is formed over a front surface of the substrate. In some examples, after forming the graphene layer, the first dielectric layer is patterned to form an opening in the first dielectric layer that exposes a portion of the back surface of the substrate. Thereafter, while using the patterned first dielectric layer as a mask, an etching process may be performed to the back surface of the substrate to form a pellicle having a pellicle membrane that includes the graphene layer.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yun-Yue Lin, Hsuan-Chen Chen, Chih-Cheng Lin, Hsin-Chang Lee, Yao-Ching Ku, Wei-Jen Lo, Anthony Yen, Chin-Hsiang Lin, Mark Chien
  • Patent number: 10156782
    Abstract: A mask (M) for EUV lithography includes: a substrate (7), a first surface region (A1) formed by a surface (8a) of a multilayer coating (8) embodied to reflect EUV radiation (27), said surface (8a) facing away from the substrate (7), and a second surface region (A2) formed by a surface (18a) of a further coating (18) embodied to reflect DUV radiation (28) and to suppress the reflection of EUV radiation (27), said surface (18a) facing away from the substrate (7). The further coating is a multilayer coating (18). Also disclosed are an EUV lithography apparatus that includes such a mask (M) and a method for determining a contrast proportion caused by DUV radiation when imaging a mask (M) onto a light-sensitive layer.
    Type: Grant
    Filed: February 13, 2017
    Date of Patent: December 18, 2018
    Assignee: CARL ZEISS SMT GMBH
    Inventor: Peter Huber
  • Patent number: 10156783
    Abstract: A system and method for repairing a photolithographic mask is provided. An embodiment comprises forming a shielding layer over an absorbance layer on a substrate. Once the shielding layer is in place, the absorbance layer may be repaired using, e.g., an e-beam process to initiate a reaction to repair a defect in the absorbance layer, with the shielding layer being used to shield the remainder of the absorbance layer from undesirable etching during the repair process.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: December 18, 2018
    Assignee: Taiwan Semiconductor Manufactuing Company, Ltd.
    Inventors: Chih-Chiang Tu, Chun-Lang Chen, Jong-Yuh Chang, Boming Hsu, Tran-Hui Shen
  • Patent number: 10151972
    Abstract: A manufacturing method of a photomask according to the embodiment sets an exposure condition applied when a resist is formed into a three-dimensional target shape by using a photomask including a plurality of light-shielding areas. Subsequently, the method sets a hypothetical target shape obtained by correcting a target shape based on a development characteristic of the resist for the exposure condition. Subsequently, the method creates a pattern of the photomask corresponding to the hypothetical target shape. Subsequently, the method simulates a prediction shape of the resist when the pattern is used. Subsequently, the method calculates a cost function related to an error between the prediction shape and the hypothetical target shape. Subsequently, the method adjusts the pattern based on a result of the calculation of the cost function.
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: December 11, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Takaki Hashimoto, Satoshi Usui, Naoki Sato, Kouichi Nakayama, Masahiro Miyairi, Syogo Okamoto
  • Patent number: 10146123
    Abstract: A mask blank with phase shift film where changes in transmittance and phase shift to an exposure light of an ArF excimer laser are suppressed. The film transmits light of an ArF excimer laser at a transmittance of 2% or more and less than 10% and generates a phase difference of 150 degrees or more and 190 degrees or less between the exposure light transmitted through the phase shift film and the exposure light transmitted through the air for the same distance as a thickness of the phase shift film. The film has a stacked lower layer and upper layer, the lower layer containing metal and silicon and substantially free of oxygen. The upper layer containing metal, silicon, nitrogen, and oxygen. The lower layer is thinner than the upper layer, and the ratio of metal to metal and silicon of the upper layer is less than the lower layer.
    Type: Grant
    Filed: October 7, 2015
    Date of Patent: December 4, 2018
    Assignee: HOYA CORPORATION
    Inventors: Hiroaki Shishido, Osamu Nozawa, Takenori Kajiwara
  • Patent number: 10146122
    Abstract: A halftone phase shift film is formed on a transparent substrate by reactive sputtering using a silicon target, an inert gas, and a nitrogen-containing reactive gas. A hysteresis curve is drawn by sweeping the flow rate of the reactive gas, and plotting the sputtering voltage or current during the sweep versus the flow rate of the reactive gas. In a transition mode sputtering step of sputtering in a region corresponding to a range from more than the lower limit of reactive gas flow rate providing the hysteresis to less than the upper limit, the target power, the inert gas flow rate and/or the reactive gas flow rate is increased or decreased continuously or stepwise.
    Type: Grant
    Filed: January 11, 2017
    Date of Patent: December 4, 2018
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takuro Kosaka, Yukio Inazuki, Hideo Kaneko
  • Patent number: 10147606
    Abstract: A method of forming a semiconductor device structure comprises forming a preliminary structure comprising a substrate, a photoresist material over the substrate, and a plurality of structures longitudinally extending through the photoresist material and at least partially into the substrate. The preliminary structure is exposed to electromagnetic radiation directed toward upper surfaces of the photoresist material and the plurality of structures at an angle non-orthogonal to the upper surfaces to form a patterned photoresist material. The patterned photoresist material is developed to selectively remove some regions of the patterned photoresist material relative to other regions of the patterned photoresist material. Linear structures substantially laterally aligned with at least some structures of the plurality of structures are formed using the other regions of the patterned photoresist material. Additional methods of forming a semiconductor device structure are also described.
    Type: Grant
    Filed: March 7, 2017
    Date of Patent: December 4, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu
  • Patent number: 10139723
    Abstract: A method of forming a photomask is provided. A first layout pattern is first provided to a computer system and followed by generating an assist feature pattern by the computer system based on the first layout pattern and adding the assist feature pattern into the first layout pattern to form a second layout pattern. Thereafter, an optical proximity correction process is performed with reference to both the first layout pattern and the assist feature pattern to the second layout pattern without altering the assist feature pattern to form a third layout pattern by the computer system. Then, the third layout pattern is output to form a photomask.
    Type: Grant
    Filed: November 24, 2016
    Date of Patent: November 27, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-I Wei, Chia-Wei Huang, Yung-Feng Cheng
  • Patent number: 10139947
    Abstract: A touch-sensing device is provided, which includes a substrate with a central region and a peripheral region, and a first light-shielding layer disposed on the peripheral region, wherein the first light-shielding layer has a first edge adjacent to the central region. The device further includes a touch-sensing layer disposed on the central region, and a part of the touch-sensing layer extends onto the peripheral region to cover a part of the first light-shielding layer. The device also includes a conductive line layer disposed on the first light-shielding layer, and a second light-shielding layer covering at least a part of the first light-shielding layer and at least a part of the conductive line layer. The second light-shielding layer has a second edge adjacent to the central region, and the second edge has a profile roughness that is greater than that of the first edge.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: November 27, 2018
    Assignee: INNOLUX CORPORATION
    Inventors: Chia-Hsiung Chang, Yang-Chen Chen, Kuo-Chang Su, Hsia-Ching Chu
  • Patent number: 10133379
    Abstract: The present application discloses a substrate comprising a peripheral region, wherein the peripheral region comprises a first light blocking layer and a metal light blocking layer sequentially on a base substrate along a direction away from the base substrate.
    Type: Grant
    Filed: December 10, 2015
    Date of Patent: November 20, 2018
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Jing Feng
  • Patent number: 10126641
    Abstract: Provided is a multilayer reflective film formed substrate formed with a fiducial mark for accurately managing coordinates of defects. A multilayer reflective film formed substrate is formed with a multilayer reflective film, which is adapted to reflect EUV light, on a substrate and a fiducial mark which serves as a reference for a defect position in defect information is formed on the multilayer reflective film. The fiducial mark includes a main mark for determining a reference point for the defect position and auxiliary marks arranged around the main mark. The main mark has a point-symmetrical shape and has a portion with a width of 200 nm or more and 10 ?m or less with respect to a scanning direction of an electron beam writing apparatus or defect inspection light.
    Type: Grant
    Filed: July 21, 2016
    Date of Patent: November 13, 2018
    Assignee: HOYA CORPORATION
    Inventors: Tsutomu Shoki, Kazuhiro Hamamoto
  • Patent number: 10126646
    Abstract: In a method of calculating a shift value of a cell contact, a reference region and a correction region may be set on an image of an actual cell block. The cell block may include a plurality of actual cell contacts formed using a mask. Each of preliminary shift values of the actual cell contacts with respect to target cell contacts in a target cell block to be formed using the mask may be measured based on the image. The preliminary shift values of the actual cell contacts in the reference region may be minimized. Actual shift values of the actual cell contacts in the correction region with respect to the minimized preliminary shift values may be calculated. Thus, the mask may be corrected using the accurately measured shift values so that the cell contacts may have designed positions.
    Type: Grant
    Filed: June 7, 2017
    Date of Patent: November 13, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Ho Yang, Sibo Cai, Seung-Hune Yang
  • Patent number: 10120963
    Abstract: A method for correcting a lithographic pattern includes selecting, by a processor, first stage input factors for utilization with a first computer-implemented model. The processor measures pattern data from existing measured dimensions of a semiconductor to obtain values for the first stage input factors and the first model against the measured pattern data. The processor applies the calibrated first model to predict printed dimensions and the printed dimensions from applying the calibrated first model comprise residuals. The processor establishes, based on the residuals, second stage input factors for a second model and calibrates the second model against the measured pattern data to predict deviations of the printed dimensions from the printed dimensions from the first stage input factors by utilizing the second stage input factors. The method produces predicted printed dimensions of a lithographic pattern by using the second model to revise the printed dimensions of the first model.
    Type: Grant
    Filed: May 5, 2017
    Date of Patent: November 6, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Pardeep Kumar, Alan E. Rosenbluth, Ramana Murthy Pusuluri, Ramya Viswanathan
  • Patent number: 10120274
    Abstract: In a chamber (50), a quartz substrate (10) having a main surface on which an optical film (20) is formed is put on a susceptor (30). A flash lamp (60) is housed in a lamp house (90), and the optical film (20) is irradiated with flash light through two quartz plates (70a and 70b). A transmittance adjustment region (80) is formed on a surface of the quartz plate (70b) of the two quartz plates (70a and 70b), and the amount of light with which the optical film (20) is irradiated has in-plane distribution. If the optical film (20) is irradiated with the flash light, optical characteristics of the optical film (20) change depending on the received irradiation energy. Hence, for example, the characteristics of the optical film are not uniform, the optical film is irradiated with flash light having such irradiation energy distribution that cancels the in-plane distribution.
    Type: Grant
    Filed: January 12, 2017
    Date of Patent: November 6, 2018
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Souichi Fukaya, Yukio Inazuki
  • Patent number: 10119188
    Abstract: An article comprises a body and at least one protective layer on at least one surface of the body. The at least one protective layer is a thin film having a thickness of less than approximately 20 microns that comprises a ceramic selected from a group consisting of Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12 and a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: November 6, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Biraja P. Kanungo, Vahid Firouzdor, Tom Cho
  • Patent number: 10121808
    Abstract: A device includes first patterns, second patterns, and a second sample pattern on a semiconductor substrate. The second patterns are horizontally spaced apart at an equal interval from the second sample pattern. The second sample pattern includes first and second sidewall facing each other, a first point on the first sidewall, and a second point on the second sidewall. The second sample pattern and the most adjacent first pattern in relation to the second sample pattern are spaced apart from each other at a first horizontal distance in a direction parallel to a line connecting the first point and the second point. The first horizontal distance is greater than a second horizontal distance in the direction between one second pattern of the second patterns and a most adjacent first pattern in relation to the one second pattern.
    Type: Grant
    Filed: December 13, 2017
    Date of Patent: November 6, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dongmin Han, Jung-Saeng Kim, Seungjoo Nah, Junetaeg Lee
  • Patent number: 10114281
    Abstract: Provided is a mask blank with a phase shift film having a function to transmit ArF exposure light at a predetermined transmittance and a function to generate a predetermined phase difference to the transmitting ArF exposure light, and having high ArF light fastness. The phase shift film has a function to transmit ArF exposure light at 2% or more transmittance and a function to generate a phase difference of 150 degrees or more and 180 degrees or less to the transmitting ArF exposure light; a lower layer and an upper layer are stacked from a substrate side; the lower layer is formed from silicon or silicon containing one or more elements selected from nonmetallic elements other than oxygen and semimetal elements; the upper layer other than a surface layer is formed from silicon and nitrogen or a material consisting of silicon, nitrogen and one or more elements selected from nonmetallic elements excluding oxygen and semimetal elements; the lower layer has refractive index n of less than 1.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: October 30, 2018
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Hiroaki Shishido, Takenori Kajiwara
  • Patent number: 10114280
    Abstract: On an EUV light-reflecting surface of titania-doped quartz glass, an angle (?) included between a straight line connecting an origin (O) at the center of the reflecting surface to a birefringence measurement point (A) and a fast axis of birefringence at the measurement point (A) has an average value of more than 45 degrees. Since fast axes of birefringence are distributed in a concentric fashion, a titania-doped quartz glass substrate having a high flatness is obtainable which is suited for use in the EUV lithography.
    Type: Grant
    Filed: February 11, 2016
    Date of Patent: October 30, 2018
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Shigeru Maida, Hisatoshi Otsuka, Tetsuji Ueda, Masanobu Ezaki
  • Patent number: 10114283
    Abstract: The present disclosure provides a mask plate, an exposure device and an exposure method. The mask plate includes a base plate and a plurality of patterns with openings arranged on the base plate. The base plate includes a first region corresponding to a position where a developing agent has a low concentration in the case that a target substrate is to be developed, and a second region corresponding to a position where the developing agent has a high concentration in the case that the target substrate is to be developed. In the case that the target substrate is exposed using the mask plate, an amount of light beams passing through each pattern at the first region of the base plate is greater than an amount of the light beams passing through each pattern at the second region of the base plate.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: October 30, 2018
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BIJING BOE DISPLAY TECHNOLOGY CO., LTD.
    Inventors: Pengyu Qi, Changjun Zha, Yanping Wang, Dong Wang, Jianfeng Yuan, Xibin Shao, Yan Zhang, Jintao Xiao, Yangchen Guo
  • Patent number: 10108085
    Abstract: In a method for localizing defects on a substrate for EUV masks, a phase contrast optical unit having a phase mask is used for examining the substrate.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: October 23, 2018
    Assignees: Carl Zeiss SMT GmbH, Carl Zeiss AG
    Inventors: Jan Hendrik Peters, Jörg Frederik Blumrich, Dirk Seidel, Christoph Husemann
  • Patent number: 10101650
    Abstract: A mask blank is provided, by which an alignment mark can be formed between a transparent substrate and a laminated structure of a light semitransmissive film, etching stopper film, and light shielding film during manufacture of a transfer mask.
    Type: Grant
    Filed: September 5, 2014
    Date of Patent: October 16, 2018
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Ryo Ohkubo, Hiroaki Shishido, Yasushi Okubo
  • Patent number: 10101656
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes an alkali-soluble resin (A) and a compound (B) exemplified below, the compound (B) has a specific structure within a molecule.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: October 16, 2018
    Assignee: FUJIFILM Corporation
    Inventor: Shuhei Yamaguchi