Radiation Mask Patents (Class 430/5)
  • Patent number: 10503062
    Abstract: A method of fabricating a multi-tone amplitude photomask includes providing a mask substrate. The method includes providing a stepped pattern in at least one layer of material on a surface of the mask substrate. The stepped pattern includes at least two steps and at least three levels. Each level of the stepped pattern provides a different intensity of light when a light source shines light on the stepped pattern.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: December 10, 2019
    Assignee: Marsupial Holdings, Inc.
    Inventors: William P. Parker, Julie Parker
  • Patent number: 10495966
    Abstract: Provided is a mask blank in which uniformity of the composition and optical characteristics of a phase-shift film in the in-plane direction and direction of film thickness is high, uniformity of the composition and optical characteristics of the phase-shift film between a plurality of substrates is also high, and defectivity is low even if a silicon-based material is applied to the material that forms the phase-shift film.
    Type: Grant
    Filed: November 27, 2018
    Date of Patent: December 3, 2019
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Hiroaki Shishido, Kazuya Sakai
  • Patent number: 10497537
    Abstract: A method of manipulating an electron beam is disclosed. The method comprises transmitting the beam through a phase mask selected to spatially modulate a phase of the beam over a cross-section thereof.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: December 3, 2019
    Assignee: Ramot at Tel-Aviv University Ltd.
    Inventors: Roy Shiloh, Yossi Lereah, Ady Arie
  • Patent number: 10488763
    Abstract: Method and system configured to reduce or even nullify the degradation of images created by the projector tool turns on the optimization of the pattern-imaging by adjusting parameters and hardware of the projector to judiciously impact the placement of various image edges at different locations in the image field. Adjustments to the projector (exposure tool) include a change of a setup parameter of the exposure tool and/or scanning synchronization and/or a change of a signature of the optical system of the exposure tool determined as a result of minimizing the pre-determined cost function(s) that are parts of a comprehensive edge-placement error model.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: November 26, 2019
    Assignee: Nikon Corporation
    Inventor: Jacek K. Tyminski
  • Patent number: 10479124
    Abstract: According to an embodiment of the present invention, an inkjet printing apparatus capable of controlling temperatures of a transfer member and a printhead properly, and printing a high-quality image is provided. More specifically, in an inkjet printing apparatus that includes a transfer member, a printhead configured to discharge ink to form an image on the transfer member, and a transfer unit configured to transfer the image on the transfer member to a print medium, the following control is performed. That is, the transfer member is heated, a temperature of the heated transfer member is measured, and a temperature of the printhead is adjusted based on the measured temperature.
    Type: Grant
    Filed: July 2, 2018
    Date of Patent: November 19, 2019
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takuto Moriguchi, Yusuke Nakaya, Ryosuke Sato
  • Patent number: 10481486
    Abstract: A mask blank with a phase shift film and a light shielding film, laminated on a transparent substrate. The phase shift film transmits ArF exposure light at a transmittance (?) 2%???30% and generates a phase difference (??) of 150°????200°, and is formed from a material containing Si and not substantially containing Cr, and has a lower layer (L) and an upper layer (U) laminated from the transparent substrate side. A refractive index n for layer L is below the transparent substrate while n for layer U is higher, and the layer L has an extinction coefficient k higher than layer U. The light shielding film includes a layer in contact with the phase shift film that is formed from a material containing Cr, has a n lower than layer U, and has an extinction coefficient k higher than layer U.
    Type: Grant
    Filed: September 27, 2016
    Date of Patent: November 19, 2019
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Takenori Kajiwara, Hiroaki Shishido
  • Patent number: 10481483
    Abstract: In an embodiment, a photomask includes: a substrate over a first conductive layer, the substrate formed of a low thermal expansion material (LTEM); a second conductive layer over the first conductive layer; a reflective film stack over the substrate; a capping layer over the reflective film stack; an absorption layer over the capping layer; and an antireflection (ARC) layer over the absorption layer, where the ARC layer and the absorption layer have a plurality of openings in a first region exposing the capping layer, where the ARC layer, the absorption layer, the capping layer, and the reflective film stack have a trench in a second region exposing the second conductive layer.
    Type: Grant
    Filed: November 17, 2017
    Date of Patent: November 19, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shiang-Bau Wang, Syun-Ming Jang
  • Patent number: 10481485
    Abstract: A mask blank comprising an etching stopper film. The mask blank comprises a thin film for pattern formation on a main surface of a transparent substrate, and is featured in that: the thin film for pattern formation contains silicon, an etching stopper film is provided between the transparent substrate and the thin film for pattern formation, and the etching stopper film contains silicon, aluminum, and oxygen.
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: November 19, 2019
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Takenori Kajiwara, Ryo Ohkubo
  • Patent number: 10481488
    Abstract: Provided are a mask blank substrate processing device, a mask blank substrate processing method, a mask blank substrate fabrication method, a mask blank fabrication method, and a transfer mask fabrication method, for surface processing a mask blank substrate such that a high-level smoothness and a low-defect quality are satisfied.
    Type: Grant
    Filed: December 24, 2013
    Date of Patent: November 19, 2019
    Assignee: HOYA CORPORATION
    Inventors: Takeyuki Yamada, Toshihiko Orihara, Takahito Nishimura
  • Patent number: 10466582
    Abstract: A halftone phase shift mask blank comprising a transparent substrate and a halftone phase shift film thereon is prepared through the step of depositing the halftone phase shift film on the substrate by using a sputtering gas containing rare gas and nitrogen gas, and plural targets including at least two silicon targets, applying powers of different values to the silicon targets, effecting reactive sputtering, and rotating the substrate on its axis in a horizontal direction. The halftone phase shift film has satisfactory in-plane uniformity of optical properties.
    Type: Grant
    Filed: August 11, 2017
    Date of Patent: November 5, 2019
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yukio Inazuki
  • Patent number: 10466585
    Abstract: A pellicle suitable for use with a patterning device for a lithographic apparatus. The pellicle includes at least one breakage region which is configured to preferentially break, during normal use in a lithographic apparatus, prior to breakage of remaining regions of the pellicle.
    Type: Grant
    Filed: December 2, 2016
    Date of Patent: November 5, 2019
    Assignees: ASML NETHERLANDS B.V., ASML HOLDING N.V.
    Inventors: David Ferdinand Vles, Erik Achilles Abegg, Aage Bendiksen, Derk Servatius Gertruda Brouns, Pradeep K. Govil, Paul Janssen, Maxim Aleksandrovich Nasalevich, Arnoud Willem Notenboom, Mária Péter, Marcus Adrianus Van De Kerkhof, Willem Joan Van Der Zande, Pieter-Jan Van Zwol, Johannes Petrus Martinus Bernardus Vermeulen, Willem-Pieter Voorthuijzen, James Norman Wiley
  • Patent number: 10466586
    Abstract: A mask layout containing a non-Manhattan pattern is received. The received mask layout is processed. An edge of the non-Manhattan pattern is identified. A plurality of two-dimensional kernels is generated based on a set of processed pre-selected mask layout samples. The two-dimensional kernels each have a respective rotational symmetry. The two-dimensional kernels are applied to the edge of the non-Manhattan pattern to obtain a correction field for the non-Manhattan pattern. A thin mask model is applied to the non-Manhattan pattern. The thin mask model contains a binary modeling of the non-Manhattan pattern. A near field of the non-Manhattan pattern is determined by applying the correction field to the non-Manhattan pattern having the thin mask model applied thereon. An optical model is applied to the near field to obtain an aerial image on a wafer. A resist model is applied to the aerial image to obtain a final resist image on the wafer.
    Type: Grant
    Filed: August 2, 2017
    Date of Patent: November 5, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Jen Lai, Xin Zhou, Danping Peng
  • Patent number: 10466584
    Abstract: The present disclosure provides a photoetching mask plate, a method for manufacturing the photoetching mask plate, and a photoetching method using the photoetching mask plate. The photoetching mask plate includes a base substrate, a mask pattern arranged on a surface of the base substrate, and a conductive connection pattern arranged on the surface of the base substrate. The conductive connection pattern is configured to electrically connect separate portions of the mask pattern to each other.
    Type: Grant
    Filed: January 11, 2017
    Date of Patent: November 5, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Zhenhua Lv, Xi Chen, Shijun Wang, Zhiying Bao, Yong Zhang, Yue Li, Wenjun Xiao, Yanna Xue, Wenbo Jiang
  • Patent number: 10466583
    Abstract: A halftone phase shift mask blank comprising a transparent substrate and a halftone phase shift film thereon is provided. The halftone phase shift film includes at least one layer composed of a silicon base material having a transition metal content?3 at %, a Si+N+O content?90 at %, a Si content of 30-70 at %, a N+O content of 30-60 at %, and an O content?30 at %, and having a sheet resistance?1013/?/?. The halftone phase shift film undergoes minimal pattern size variation degradation upon exposure to sub-200 nm radiation, and has chemical resistance and improved processability.
    Type: Grant
    Filed: September 27, 2017
    Date of Patent: November 5, 2019
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio Inazuki, Takuro Kosaka, Kouhei Sasamoto, Hideo Kaneko
  • Patent number: 10459346
    Abstract: A method to improve a lithographic process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination system and projection optics, the method including: obtaining an illumination source shape and a mask defocus value; optimizing a dose of the lithographic process; and optimizing the portion of the design layout for each of a plurality of slit positions of the illumination source.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: October 29, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Duan-Fu Stephen Hsu, Rafael C. Howell, Xiaofeng Liu
  • Patent number: 10459332
    Abstract: A method of fabricating a photomask includes providing a mask blank; removing a portion of the resist layer to form a patterned resist layer exposing a portion of the cooling layer; patterning the cooling layer by using the patterned resist layer as an etching mask; patterning the opaque layer; and removing the patterned resist layer and the patterned cooling layer. The mask blank includes a light-transmitting substrate and an opaque layer, a cooling layer, and a resist layer sequentially stacked thereon, wherein the cooling layer has a thermal conductivity ranging between 160 and 5000 and an effective atomic number ranging between 5 and 14.
    Type: Grant
    Filed: March 28, 2017
    Date of Patent: October 29, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hao-Ming Chang, Chih-Ming Chen, Cheng-Ming Lin, Sheng-Chang Hsu, Shao-Chi Wei, Hsao Shih, Li-Chih Lu
  • Patent number: 10459333
    Abstract: A halftone phase shift film is formed on a transparent substrate by reactive sputtering using a silicon target, an inert gas, and a nitrogen-containing reactive gas. A hysteresis curve is drawn by sweeping the flow rate of the reactive gas, and plotting the sputtering voltage or current during the sweep versus the flow rate of the reactive gas. In a transition mode sputtering step of sputtering in a region corresponding to a range from more than the lower limit of reactive gas flow rate providing the hysteresis to less than the upper limit, the target power, the inert gas flow rate and/or the reactive gas flow rate is increased or decreased continuously or stepwise.
    Type: Grant
    Filed: October 26, 2018
    Date of Patent: October 29, 2019
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takuro Kosaka, Yukio Inazuki, Hideo Kaneko
  • Patent number: 10457088
    Abstract: Disclosed and claimed herein is a template for directing a pattern in a block copolymer film and the process of making the pattern.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: October 29, 2019
    Assignee: Ridgefield Acquisition
    Inventors: Jihoon Kim, Jinxiu Wan, Shinji Miyazaki, Guanyang Lin, Hengpeng Wu
  • Patent number: 10453763
    Abstract: According to various aspects and embodiments, a support structure for packaging an electronic device is provided. In one example, a packaged electronic device includes a substrate, at least one electronic device disposed on the substrate, an encapsulation structure disposed on the substrate and having a wall that forms a perimeter around the at least one electronic device, and at least one support structure formed from a photosensitive polymer and disposed adjacent the wall of the encapsulation structure. The at least one support structure has a configuration that provides at least one of increased adhesion and mechanical strength to the encapsulation structure.
    Type: Grant
    Filed: August 10, 2017
    Date of Patent: October 22, 2019
    Assignee: SKYWORKS SOLUTIONS, INC.
    Inventors: Bradley Paul Barber, Kezia Cheng
  • Patent number: 10453758
    Abstract: A method of determining a parameter of a patterning process, the method including: obtaining a detected representation of radiation redirected by a structure having geometric symmetry at a nominal physical configuration, wherein the detected representation of the radiation was obtained by illuminating a substrate with a radiation beam such that a beam spot on the substrate was filled with the structure; and determining, by a hardware computer system, a value of the patterning process parameter based on optical characteristic values from an asymmetric optical characteristic distribution portion of the detected radiation representation with higher weight than another portion of the detected radiation representation, the asymmetric optical characteristic distribution arising from a different physical configuration of the structure than the nominal physical configuration.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: October 22, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Adriaan Johan Van Leest, Anagnostis Tsiatmas, Paul Christiaan Hinnen, Elliott Gerard McNamara, Alok Verma, Thomas Theeuwes, Hugo Augustinus Joseph Cramer
  • Patent number: 10444620
    Abstract: To provide a phase-shift mask in which the reduction in thickness of a light-shielding film is provided when a transition metal silicide-based material is used for the light-shielding film and by which the problem of ArF light fastness can be solved; and a mask blank for manufacturing the phase-shift mask. A mask blank has a structure in which a phase-shift film, an etching stopper film, a light-shielding film, and a hard mask film are laminated in said order on a transparent substrate, and at least one layer in the light-shielding film is made of a material which contains transition metal and silicon, and satisfies the conditions of Formula (1) below: CN?9.0×10?6×RM4?1.65×10?4×RM3?7.718×10?2×RM2+3.611×RM?21.084 ??Formula (1) wherein RM is a ratio of the content of transition metal to the total content of transition metal and silicon in said one layer, and CN is the content of nitrogen in said one layer.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: October 15, 2019
    Assignee: HOYA CORPORATION
    Inventors: Atsushi Matsumoto, Hiroaki Shishido, Takashi Uchida
  • Patent number: 10444623
    Abstract: Methods and a system for scanning scattering contrast inspection for the identification of defects in an actual pattern block on a sample as compared to a desired pattern block. Most of the information in the reciprocal space (spatial frequency domain) is omitted in order to increase the throughput. That information in the reciprocal space is captured which gives the highest defect information, namely contrast signal between the defective and defect-free structure. Deviations from the expected diffraction pattern allow rapid identification of defects on the actual pattern. The first method learns the correct reconstructed diffraction image by comparing the repetitive pattern blocks. The second method focuses on the appearance of predictable defects in the spatial frequency domain of the reconstructed diffraction image thereby defining regions of interest where the defects materialize.
    Type: Grant
    Filed: February 2, 2017
    Date of Patent: October 15, 2019
    Assignee: Paul Scherrer Institut
    Inventor: Yasin Ekinci
  • Patent number: 10444619
    Abstract: A mask blank includes: a light transmitting substrate; a first layer disposed on the light transmitting substrate, and including a chromium compound that contains chromium and at least one element selected from oxygen, nitrogen, and carbon; and a second layer disposed on the first layer as an outermost layer from among the first and second layers, and including a silicon compound that contains silicon and at least one element selected from oxygen, nitrogen, and carbon, an alloy of a transition metal and silicon, or a transition metal and silicon compound that contains a transition metal, silicon, and at least one element selected from oxygen, nitrogen, and carbon. The thickness of the first layer is 45 nm or less, and the thickness of the second layer is 5 nm or greater. An optical density of a stack composed of the first layer and the second layer is 3 or greater.
    Type: Grant
    Filed: July 5, 2017
    Date of Patent: October 15, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hye Kyoung Lee, Il Yong Jang, Hwan Seok Seo, Byung Gook Kim
  • Patent number: 10439138
    Abstract: A step of forming openings in a mask substrate includes step A of forming openings of “a” number of continual columns included in a first region (R1) including at least the (n/2)th column or the ((n+1)/2)th column; step B of forming openings of “b” number of continual columns included in a second region (R2) adjacent to the first region (R1) in a ?x direction with a first gap region (RS1) being sandwiched between the first and second regions, the first gap region including “sa” number of continual columns; and step C of forming openings of “c” number of continual columns included in a third region (R3) adjacent to the first region (R1) in an x direction with a second gap region (RS2) being sandwiched between the first and third regions, the second gap region including “sb” number of continual columns. The steps B and C are performed after the step A.
    Type: Grant
    Filed: August 31, 2017
    Date of Patent: October 8, 2019
    Assignee: Sakai Display Products Corporation
    Inventors: Katsuhiko Kishimoto, Susumu Sakio
  • Patent number: 10437143
    Abstract: Provided is a pellicle for exposure to extreme ultraviolet light (EUVL) according to an example embodiment, and the pellicle includes a pellicle membrane; and a frame attached to the pellicle membrane, wherein the pellicle membrane includes a carbon-based main layer that has a first surface and a second surface, which are two surfaces opposite to each other; and a boron-based enhancement layer covering at least one surface selected from the first surface and the second surface. The pellicle according to an example embodiment may be used for an extended period of time in an extreme ultraviolet light exposure environment.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: October 8, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hwan-chul Jeon, Mun Ja Kim, Sung-won Kwon, Hee-bom Kim, Chang-young Jeong
  • Patent number: 10437145
    Abstract: A pellicle includes a pellicle frame, a pellicle membrane, and an attaching element, a first surface of the attaching element having exposed pores.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: October 8, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-hyuck Choi, Jin-su Kim, Kyoung-mi Kim, Byung-gook Kim
  • Patent number: 10429728
    Abstract: Disclosed is a mask blank substrate for use in lithography, wherein a main surface of the substrate satisfies a relational equation of (BA70?BA30)/(BD70?BD30)?350 (%/nm), and has a maximum height (Rmax)?1.2 nm in a relation between a bearing area (%) and a bearing depth (nm) obtained by measuring, with an atomic force microscope, an area of 1 ?m×1 ?m in the main surface on the side of the substrate where a transfer pattern is formed, wherein BA30 is defined as a bearing area of 30%, BA70 is defined as a bearing area of 70%, and BD70 and BD30 are defined to respectively represent bearing depths for the bearing area of 30% and the bearing area of 70%.
    Type: Grant
    Filed: November 28, 2017
    Date of Patent: October 1, 2019
    Assignee: HOYA CORPORATION
    Inventors: Kazuhiro Hamamoto, Toshihiko Orihara, Hirofumi Kozakai, Youichi Usui, Tsutomu Shoki, Junichi Horikawa
  • Patent number: 10429730
    Abstract: There is provided a pellicle for lithography which has a unique frame in that it has externally protruding (projecting) portions; to the lower end faces of these protruding portions the photomask-bonding agglutinant layer is applied so as to allow a reduction in the area of the lower end face of the pellicle frame to which conventionally the agglutinant layer was endlessly applied, whereby the pellicle induced deformation to the photomask is mitigated.
    Type: Grant
    Filed: May 16, 2017
    Date of Patent: October 1, 2019
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Nishimura Akinori
  • Patent number: 10430543
    Abstract: A matrix is produced for a semiconductor design. Interactions between mask edges in forming semiconductor shapes are determined and a graph created that shows those interactions. The graph is then partitioned into groups using a coloring algorithm, with each group representing one or more non-interacting mask edges. A lithography simulation is performed for each group, with the edges of that group perturbed, but the edges of other groups unmoved. The partial derivatives are calculated for the edges of a group based on the simulation with those edges perturbed, and used to populate locations in a Jacobian matrix. The Jacobian matrix is then used to solve an Optical Proximity Correction (OPC) problem by finding a mask edge correction vector for a given wafer targeting error vector.
    Type: Grant
    Filed: October 4, 2014
    Date of Patent: October 1, 2019
    Assignee: Synopsys, Inc.
    Inventor: Thomas Christopher Cecil
  • Patent number: 10424481
    Abstract: A method of forming a semiconductor device structure comprises forming a preliminary structure comprising a substrate, a photoresist material over the substrate, and a plurality of structures longitudinally extending through the photoresist material and at least partially into the substrate. The preliminary structure is exposed to electromagnetic radiation directed toward upper surfaces of the photoresist material and the plurality of structures at an angle non-orthogonal to the upper surfaces to form a patterned photoresist material. The patterned photoresist material is developed to selectively remove some regions of the patterned photoresist material relative to other regions of the patterned photoresist material. Linear structures substantially laterally aligned with at least some structures of the plurality of structures are formed using the other regions of the patterned photoresist material. Additional methods of forming a semiconductor device structure are also described.
    Type: Grant
    Filed: November 2, 2018
    Date of Patent: September 24, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu
  • Patent number: 10423062
    Abstract: Methods for correcting target patterns and masks having corrected target patterns are provided. An exemplary correction method includes dividing contours of target patterns into fragments; performing an optical proximity correction to obtain mask patterns; obtaining simulated exposure patterns; detecting the simulated exposure patterns to find out existence of at least one weak point; determining a correction window in the target patterns; comparing the target patterns in the correction window with the simulated exposure patterns to obtain a position error of each fragment; calculating an effect value of a correction value of each fragment in the correction window on position errors of all fragments in the correction window; determining the correction value of each fragment according to the effect value of the correction value in the correction window on position errors of all fragments and the position error of each fragment; and obtaining corrected target patterns using the correction value.
    Type: Grant
    Filed: August 3, 2017
    Date of Patent: September 24, 2019
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Yao Jun Du, Liang Li
  • Patent number: 10416818
    Abstract: A display device with a panel for preventing a light leakage is disclosed. The display device with the panel includes a display panel including an active area, on which information is displayed, and a first light shielding area positioned outside the active area and a panel disposed on the display panel. The panel includes a touch area having a size wider than the active area, a second light shielding area positioned outside the touch area, and a third light shielding area ranging from a start position of the first light shielding area to a start position of the second light shielding area. At least one dummy pattern is disposed in the third light shielding area of the panel.
    Type: Grant
    Filed: November 10, 2016
    Date of Patent: September 17, 2019
    Assignee: LG Display Co., Ltd.
    Inventors: Sangwoo Seo, Dowan Kim
  • Patent number: 10416550
    Abstract: Embodiments disclosed herein relate to an exposure pattern alteration software application which manipulates exposure polygons having lines with angles substantially close to angles of symmetry of a hex close pack arrangement, which suffer from long jogs. Long jogs present themselves as high edge placement error regions. As such, the exposure pattern alteration software application provides for line wave reduction by serrating polygon edges at affected angles to reduce edge placement errors during maskless lithography patterning in a manufacturing process.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: September 17, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph R. Johnson, Christopher Dennis Bencher, Thomas L. Laidig
  • Patent number: 10418385
    Abstract: An array substrate, a display panel, and a fabrication method of the array-substrate are provided. The array substrate comprises a first thin film transistor including a first metal oxide thin film transistor and disposed in a display region, a second thin film transistor including an amorphous silicon thin film transistor and disposed in a peripheral circuit region; and a third thin film transistor including a second metal oxide thin film transistor and disposed in the peripheral circuit region. A first insulating layer is disposed between a first metal oxide semiconductor layer and a first gate electrode, and a second insulating layer is disposed above the first gate electrode, a second gate electrode, and the first metal oxide semiconductor layer. The amorphous silicon semiconductor layer, a first source electrode, a first drain electrode, a second source electrode, a second drain electrode are disposed above the second insulating layer.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: September 17, 2019
    Assignees: Shanghai Tianma Micro-Electronics Co., Ltd., Tianma Micro-Electronics Co., Ltd.
    Inventors: Tianyi Wu, Jun Ma, Tianqing Hu
  • Patent number: 10409169
    Abstract: Methods of inspecting photomasks are provided. A method of inspecting a photomask includes electronically inspecting a first mask pattern in a mask region of the photomask and refraining from electronically inspecting a separate second mask pattern in the mask region of the photomask. The first mask pattern includes a geometric feature that corresponds to at least a portion of the second mask pattern. Moreover, the mask region is outside of a scribe lane region of the photomask. Related methods of manufacturing photomasks and methods of manufacturing semiconductor devices are also provided.
    Type: Grant
    Filed: April 6, 2017
    Date of Patent: September 10, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Lim Kim, Jong-Doo Kim, Joong-Won Jeon
  • Patent number: 10409154
    Abstract: A method and apparatus for removing a pellicle from a photomask wherein the adhesive between the pellicle frame and photomask is cooled sufficiently to allow the adhesive property of the adhesive to diminish to the point where the adhesive will release from the photomask with little or no mechanical force and leaving minimal adhesive on the photomask. The adhesive is cooled by way of manifolds containing coolant being brought in contact with the pellicle frame or by way of a coolant spray nozzles spraying coolant directly onto the pellicle frame.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: September 10, 2019
    Assignee: Bruker Nano, Inc.
    Inventors: Brian J. Grenon, James Boyette, Alexander M. Figliolini
  • Patent number: 10401841
    Abstract: Methods and respective modules are provided, configured to identify registration errors of DSA lines with respect to guiding lines in a produced structure, by comparing a measured signature of the structure with simulated signatures corresponding to simulated structures having varying simulated characteristics, and characterizing the produced structure according to the comparison. The characterization may be carried out using electromagnetic characterization of a geometric model or in a model-free manner by analyzing model-based results. Thus, for the first time, positioning and dimensional errors of DSA lines may be measured.
    Type: Grant
    Filed: September 28, 2015
    Date of Patent: September 3, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Roie Volkovich, Eran Amit, Raviv Yohanan
  • Patent number: 10401741
    Abstract: Embodiments of the disclosure disclose a method and structure for detecting distortion in a pattern. In this solution, a first photosensitive material is exposed and developed to form a desirable pattern to be detected, and also a detection step including two levels at different heights is formed in a preset detection area of the pattern to be detected; and then a second photosensitive material is exposed and developed according to the same exposure machine parameters to form a detection pattern in the detection area for detecting exposure defocusing, and if preset size parameters of the detection pattern at the two levels of the detection step are consistent, then it is indicated that the formed pattern to be detected is not distorted due to exposure defocusing; otherwise, it is indicated that the pattern to be detected is distorted due to exposure defocusing.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: September 3, 2019
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Wusheng Li
  • Patent number: 10401724
    Abstract: An optical mask has a first pellicle attached. The optical mask is inspected with the first pellicle in place using first wavelengths of electromagnetic radiation. The first pellicle is replaced with a second pellicle. The first pellicle only allows the first wavelengths of electromagnetic radiation to pass, and the second pellicle allows second wavelengths that are shorter than the first wavelengths to pass. A photoresist is exposed using the optical mask with the second pellicle in place. The second pellicle is replaced with the first pellicle. The optical mask is again inspected with the first pellicle in place using the first wavelengths of electromagnetic radiation.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: September 3, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Oktawian Sobieraj, Paul W. Ackmann, SherJang Singh
  • Patent number: 10401633
    Abstract: In various embodiments, laser delivery systems feature one or more optical elements for receiving a radiation beam and altering the spatial power distribution thereof, a lens manipulation system for changing a position of at least one optical element within the path of the radiation beam, and a controller for controlling the lens manipulation system to achieve a target altered spatial power distribution on a workpiece.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: September 3, 2019
    Assignee: TERADIODE, INC.
    Inventors: Wang-Long Zhou, Parviz Tayebati, Bien Chann, Francisco Villarreal-Saucedo
  • Patent number: 10401540
    Abstract: The disclosure relates to an optical element, including: a substrate, a first coating, which is disposed on a first side of the substrate and is configured for reflecting radiation having a used wavelength (?EUV) in the EUV wavelength range, and a second coating, which is disposed on a second side of the substrate, for influencing heating radiation that is incident on the second side of the substrate. The disclosure also relates to an optical arrangement having at least one such optical element.
    Type: Grant
    Filed: January 12, 2017
    Date of Patent: September 3, 2019
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Boris Bittner, Norbert Wabra, Holger Schmidt, Ricarda Schoemer, Sonja Schneider
  • Patent number: 10401732
    Abstract: Embodiments of the present invention provide methods for optimizing a lithographic projection apparatus including optimizing projection optics therein. The current embodiments include several flows including optimizing a source, a mask, and the projection optics and various sequential and iterative optimization steps combining any of the projection optics, mask and source. The projection optics is sometimes broadly referred to as “lens”, and therefore the optimization process may be termed source mask lens optimization (SMLO). SMLO may be desirable over existing source mask optimization process (SMO) or other optimization processes that do not include projection optics optimization, partially because including the projection optics in the optimization may lead to a larger process window by introducing a plurality of adjustable characteristics of the projection optics.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: September 3, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Duan-Fu Hsu, Luoqi Chen, Hanying Feng, Rafael C. Howell, Xinjian Zhou, Yi-Fan Chen
  • Patent number: 10394118
    Abstract: The present invention provides a halftone mask comprising an assist pattern and a manufacturing method of the halftone mask, which uses an ArF excimer laser as an exposing source, is used for a projection exposure by an off axis illumination, does not resolve the assist pattern while keeping the focal depth magnification effect as the assist pattern, and may form a transferred image having high contrast of a main pattern.
    Type: Grant
    Filed: July 12, 2018
    Date of Patent: August 27, 2019
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Takaharu Nagai, Hiroshi Mohri, Yasutaka Morikawa, Katsuya Hayano
  • Patent number: 10395936
    Abstract: A wafer element fabrication method is provided. The wafer element fabrication method includes forming a device element on a substrate such that the device element includes an upper surface and a sidewall extending from the upper surface to the substrate. The wafer element fabrication method further includes forming an adjusted print resolution assist feature (APRAF) on the substrate such that the APRAF is smaller than the device element in at least one dimension. In addition, the wafer element fabrication method includes depositing surrounding material, which is different from materials of the APRAF, to surround the APRAF and to lie on the upper surface in abutment with the sidewall of the device element.
    Type: Grant
    Filed: April 24, 2017
    Date of Patent: August 27, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yann A. Mignot, Muthumanickam Sankarapandian
  • Patent number: 10394113
    Abstract: An object of the present invention is to obtain a reflective mask blank capable of obtaining high contrast at the edges of a phase shift film pattern. Provided is a reflective mask blank comprising a multilayer reflective film and a phase shift film that shifts the phase of EUV light formed in that order on a substrate, wherein root mean square roughness (Rms), obtained by measuring a 1 ?m×1 ?m region on the surface of the phase shift film with an atomic force microscope, is not more than 0.50 nm, and power spectrum density at a spatial frequency of 10 to 100 ?m?1 is not more than 17 nm4.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: August 27, 2019
    Assignee: HOYA CORPORATION
    Inventors: Kazuhiro Hamamoto, Yohei Ikebe
  • Patent number: 10394117
    Abstract: A pellicle film for extreme ultraviolet (EUV) lithography includes a graphite-containing thin film.
    Type: Grant
    Filed: May 11, 2018
    Date of Patent: August 27, 2019
    Assignees: Samsung Electronics Co., Ltd., Research & Business Foundation Sungkyunkwan University, Fine Semitech Co., Ltd.
    Inventors: Mun Ja Kim, Ji-beom Yoo, Seul-gi Kim, Sang-jin Cho, Myung-shik Chang, Jang-dong You
  • Patent number: 10386717
    Abstract: An imprint method includes: placing a light-curable composition on a workpiece substrate (placement); bringing the light-curable composition and a mold into contact with each other an atmosphere of a condensable gas (contact); aligning the mold and the workpiece substrate (alignment); irradiating the light-curable composition with light to obtain a light-cured composition (irradiation); and separating the light-cured composition and the mold from each other after the irradiation (release). The film thickness of the light-curable composition during the alignment is 20% or more greater than that of the light-cured composition after the release.
    Type: Grant
    Filed: June 18, 2014
    Date of Patent: August 20, 2019
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiki Ito, Takashi Yoshida, Hitoshi Sato, Youji Kawasaki, Akiko Iimura, Keiji Yamashita, Takehiko Ueno
  • Patent number: 10386726
    Abstract: Various aspects include vectorization approaches for model-based mask proximity correction (MPC). In some cases, a computer-implemented method includes: assigning a set of vectors to geometry data describing at least one mask for forming an integrated circuit (IC); adjusting a statistical predictive model of the at least one mask based upon the set of vectors and the geometry data; predicting an adjustment to the at least one mask with the statistical predictive model; and adjusting instructions for forming the at least one mask in response to a predicted mask result of the statistical predictive model deviating from a target mask result for the at least one mask.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: August 20, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Liang Cao, Wenchao Jiang, Guoxiang Ning, Jie Zhang
  • Patent number: 10386722
    Abstract: Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. In an example, a blanker aperture array (BAA) for an e-beam tool includes a first column of openings along a first direction and having a pitch. The BAA also includes a second column of openings along the first direction and staggered from the first column of openings. The second column of openings has the pitch. A scan direction of the BAA is along a second direction, orthogonal to the first direction.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: August 20, 2019
    Assignee: Intel Corporation
    Inventors: Yan A. Borodovsky, Donald W. Nelson, Mark C. Phillips
  • Patent number: 10377665
    Abstract: Embodiments of the disclosure provide an apparatus and methods for localized stress modulation for overlay and substrate distortion using electron or ion implantation directly to a glass substrate. In one embodiment, a process for modifying a bulk property of a glass substrate generally includes identifying a stress pattern of a glass substrate, determining doping parameters to correct a defect (e.g., overlay error or substrate distortion) based on the stress pattern, and providing a treatment recipe to a treatment tool, wherein the treatment recipe is formulated according to the doping parameters. The process may further include performing a doping treatment process on the glass substrate using the treatment recipe to correct the overlay error or substrate distortion. In some embodiments, the treatment recipe is determined by comparing the stress pattern with a database library containing data correlating stress changes in glass substrates to various doping parameters.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: August 13, 2019
    Assignee: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Joseph C. Olson, Ludovic Godet, Gary Dickerson