Radiation Mask Patents (Class 430/5)
-
Patent number: 11143973Abstract: A method for designing a photomask includes calculating an open ratio of an initial photomask to determine whether the open ratio of the initial photomask is less than 25%, and then changing a design of the initial photomask in response to determining the open ratio is less than 25%, such that a changed photomask has a reverse tone to the design of the initial photomask, and an open ratio of the changed photomask is 75% or more. The method can solve the issue caused by thermal expansion of the photomask.Type: GrantFiled: April 3, 2019Date of Patent: October 12, 2021Assignee: Powerchip Semiconductor Manufacturing CorporationInventors: Hsiao-Chiang Lin, Yu-Hsuan Chang, Li-Chun Tseng
-
Patent number: 11137688Abstract: An optical system transfers original structure portions (13) of a lithography mask (10), which have an x/y-aspect ratio of greater than 4:1, and are aligned on the lithography mask, separated respectively by separating portions (14) that carry no structures to be imaged. The optical system transfers the original structure portions onto image portions (31) of a substrate (26). Each of the original structure portions is transferred to a separate image portion. The image portions onto which the original structure portions are transferred are arranged in a line next to one another. An associated projection optical unit may have an anamorphic embodiment with different imaging scales for two mutually perpendicular field coordinates specifically, one that is reducing for one of the field coordinates and the other is magnifying for the other field coordinates.Type: GrantFiled: November 9, 2020Date of Patent: October 5, 2021Assignee: CARL ZEISS SMT GMBHInventors: Michael Patra, Johannes Ruoff
-
Patent number: 11137678Abstract: The present invention is a method of evaluating a photomask blank-associated substrate, including the steps of: taking an image of a surface of the photomask blank-associated substrate to acquire a surface image, acquiring a contrast of the surface image from the acquired surface image, and evaluating the photomask blank-associated substrate on the basis of the acquired contrast of the surface image. This provides a method of evaluating a photomask blank-associated substrate that can conveniently evaluate the surface conditions (e.g., film qualities other than the transparency or the optical constants of an optical film and so on formed on a transparent substrate) of a photomask blank-associated substrate.Type: GrantFiled: May 22, 2019Date of Patent: October 5, 2021Assignee: SHIN-ETSU CHEMICAL CO., LTD.Inventor: Takahiro Kishita
-
Patent number: 11137676Abstract: The present application discloses a photomask and an exposure system, the photomask comprising a completely transparent region and a completely shading region disposed around the periphery of the completely transparent region, and a shading region is disposed in the completely transparent region, and a light transmittance of the shading region is defined as T, 0?T<100%.Type: GrantFiled: February 22, 2019Date of Patent: October 5, 2021Assignees: HKC Corporation Limited, Chongqing HKC Optoelectronics Technology Co., Ltd.Inventor: Zeyao Li
-
Patent number: 11137691Abstract: A method of adjusting a layout pattern includes shifting or rotating an entire layout pattern based on information of a plurality of defects of a mask-blank to avoid an impact of first defects of the plurality of defects when the layout pattern is produced as a mask on the mask-blank. The method includes adjusting the layout pattern of the mask at a first location based on information of a second defect of a remaining of the plurality of defects to reduce an impact of the second defect when the layout pattern in projected on a wafer. The method also includes adjusting the layout pattern of the mask at a second location based on information of a third defect of the remaining of the plurality of defects and distinct from the second defect to shift an impact position of the third defect when the layout pattern in projected on the wafer.Type: GrantFiled: April 1, 2020Date of Patent: October 5, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Shih-Ming Chang, Wei-Hsuan Liang
-
Patent number: 11137677Abstract: A pellicle for EUV exposure that has a high transmittance to EUV light, causes little outgassing, and is not much contaminated, and a method for manufacturing the same are provided. A pellicle (100) includes a pellicle film (101); a support frame (103); and a first adhesive layer (109) provided at an end of the support frame, the end being opposite to an end on which the pellicle film is extended. The pellicle further includes an inorganic layer (111) on a side surface of the first adhesive layer, the side surface extending in a direction crossing a surface of the pellicle film, and the pellicle film being extended on the side surface. The inorganic layer has a mass absorption coefficient (?m) in the range of 5×103 cm2/g to 2×105 cm2/g.Type: GrantFiled: August 8, 2019Date of Patent: October 5, 2021Assignee: MITSUI CHEMICALS, INC.Inventors: Kazuo Kohmura, Yosuke Ono, Atsushi Okubo, Daiki Taneichi, Hisako Ishikawa, Tsuneaki Biyajima
-
Patent number: 11126077Abstract: A patterning device, includes: an absorber layer on a patterning device substrate; and a reflective or transmissive layer on the patterning device substrate, wherein the absorber layer and the reflective or transmissive layer together define a pattern layout having a main feature and an attenuated sub-resolution assist feature paired with the main feature, wherein: the main feature is configured to generate, upon transferring the device pattern to a layer of patterning material on a substrate, the main feature in the layer of patterning material, and upon the transferring the pattern to the layer of patterning material, the attenuated sub-resolution assist feature is configured to avoid generating a feature in the layer of patterning material and to produce a different radiation intensity than the main feature.Type: GrantFiled: February 20, 2018Date of Patent: September 21, 2021Assignee: ASML Netherlands B.V.Inventors: Duan-Fu Stephen Hsu, Jingjing Liu
-
Patent number: 11126093Abstract: A method is proposed involving obtaining data regarding an expected focus offset during a patterning process due to topography of a region of a substrate surface. A modification of, e.g., a transmission or reflection of a region of a patterning device associated with the region of the substrate surface is determined based on the data. Using the patterning device modified according the determined modification during the patterning process mitigates an impact of the substrate topography on a parameter of the patterning process.Type: GrantFiled: May 17, 2017Date of Patent: September 21, 2021Assignee: ASML Netherlands B.V.Inventors: Richard Johannes Franciscus Van Haren, Reiner Maria Jungblut, Leon Paul Van Dijk, Willem Seine Christian Roelofs, Wim Tjibbo Tel, Stefan Hunsche, Maurits Van Der Schaar
-
Patent number: 11119420Abstract: In accordance with some embodiments, a method for processing a semiconductor wafer is provided. The method includes transporting a carrier along with a reticle supported by the carrier in a lithography exposure apparatus. The method also includes regulating particles in the carrier through a magnetic field. In addition, the method includes removing the reticle from the carrier. The method further includes performing, using the reticle, a lithography exposure process to the semiconductor wafer in the lithography exposure apparatus.Type: GrantFiled: May 27, 2020Date of Patent: September 14, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Yi-Wei Lee, Jui-Chieh Chen, Chih-Tsung Shih, Tsung-Chuan Lee
-
Patent number: 11119398Abstract: A photo mask for extreme ultra violet (EUV) lithography includes a substrate having a front surface and a back surface opposite to the front surface, a multilayer Mo/Si stack disposed on the front surface of the substrate, a capping layer disposed on the multilayer Mo/Si stack, an absorber layer disposed on the capping layer, and a backside conductive layer disposed on the back surface of the substrate. The backside conductive layer is made of tantalum boride.Type: GrantFiled: April 12, 2019Date of Patent: September 14, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Ping-Hsun Lin, Ta-Cheng Lien, Tzu Yi Wang
-
Patent number: 11119399Abstract: According to the present invention, provided is a mask blank (10), in which: a light shielding film (4) has a single layer structure or a laminate structure of a plurality of layers; at least one layer of the light shielding film (4) is formed of a material which contains a transition metal and silicon and is free from nitrogen and oxygen, or a material which contains a transition metal, silicon, and nitrogen and satisfies a condition of the following expression (1); a phase shift film (2) has a surface layer and a layer other than the surface layer; and the layer other than the surface layer is formed of a material which contains a transition metal, silicon, nitrogen, and oxygen, has a content of oxygen of 3 atom % or more, and satisfies a condition of the following expression (A). CN?9.0×10?6×RM4?1.65×10?4×RM3?7.718×10?2×RM2+3.611×RM?21.084?? Expression (1) 0.04×AS?0.Type: GrantFiled: September 8, 2016Date of Patent: September 14, 2021Assignee: HOYA CORPORATIONInventors: Atsushi Matsumoto, Hiroaki Shishido, Takashi Uchida
-
Patent number: 11119402Abstract: A method for manufacturing of a pellicle that can simplify the manufacturing process is provided. The method for manufacturing of a pellicle comprises a step for forming a SiC film on a bottom surface of a Si substrate, a step for bonding a supporting member including a through hole to a bottom surface of the SiC film, and a step for removing the Si substrate, after bonding the supporting member.Type: GrantFiled: August 25, 2017Date of Patent: September 14, 2021Assignee: Air Water Inc.Inventors: Hidehiko Oku, Ichiro Hide
-
Patent number: 11119400Abstract: In the present disclosure, an etching stopper film, a light shielding film comprising a material containing one or more elements selected from silicon and tantalum, and a hard mask film are laminated in that order on a transparent substrate. The etching stopper film is made of a material containing chromium, oxygen and carbon, the chromium content is 50 atomic % or more, the maximum peak in N1s narrow spectrum obtained by means of analysis using X-Ray photoelectron spectroscopy is below the detection limit, and Cr2p narrow spectrum obtained by means of analysis using X-Ray photoelectron spectroscopy has a maximum peak at a binding energy of 574 eV or less.Type: GrantFiled: April 2, 2018Date of Patent: September 14, 2021Assignee: HOYA CORPORATIONInventors: Ryo Ohkubo, Hiroaki Shishido, Takashi Uchida
-
Patent number: 11119403Abstract: A pellicle assembly for large-size photomasks including a frame member configured to be affixed to a large-size photomask substrate, a substantially rigid and transparent pellicle membrane affixed to the frame member so as to protect at least a portion of the large-size photomask substrate from contamination during usage, storage and/or transport, and a coating on at least one of top and bottom surfaces of the pellicle membrane that binds the pellicle membrane to prevent separation of pellicle membrane material in the event of breakage.Type: GrantFiled: September 12, 2019Date of Patent: September 14, 2021Assignee: Place Exchange, Inc.Inventors: Bryan S. Kasprowicz, Christopher Progler
-
Patent number: 11106127Abstract: Structures of a pellicle-mask structure are provided. The pellicle-mask structure includes a mask substrate, a pellicle frame over the mask substrate. The pellicle frame includes a side portion with an inside surface and an outside surface opposite to each other. The pellicle-mask structure also includes a vent structure in the side portion and connecting the inside surface and the outside surface, and a pellicle membrane over the pellicle frame. The pellicle-mask structure further includes a pellicle membrane adhesive between the pellicle membrane and the pellicle frame, and a first heat-dissipating filler in the pellicle membrane adhesive.Type: GrantFiled: February 5, 2018Date of Patent: August 31, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventor: Yun-Yue Lin
-
Patent number: 11106126Abstract: In a method of manufacturing a photo mask, an etching mask layer having circuit patterns is formed over a target layer of the photo mask to be etched. The photo mask includes a backside conductive layer. The target layer is etched by plasma etching, while preventing active species of plasma from attacking the backside conductive layer.Type: GrantFiled: April 12, 2019Date of Patent: August 31, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Ta-Cheng Lien, Tzu Yi Wang
-
Patent number: 11099477Abstract: The present disclosure provides a photomask, including a substrate having a front side, an absorber layer over the front side of the substrate, a first patch layer over the front side of the substrate and adjacent to a sidewall of the absorber layer, and a second patch layer over the first patch layer.Type: GrantFiled: September 27, 2019Date of Patent: August 24, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.Inventor: Hao-Ming Chang
-
Patent number: 11099478Abstract: A photomask includes a translucent substrate and at least one main feature. The translucent substrate has a recessed region recessed from a first surface of the translucent substrate. The at least one main feature is disposed on the translucent substrate, and protrudes from the first surface of the translucent substrate.Type: GrantFiled: April 13, 2019Date of Patent: August 24, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Yu-Yu Chen, Chi-Hung Liao
-
Patent number: 11099484Abstract: A cost-effective method for repairing reflective optical elements for EUV lithography. These optical elements (60) have a substrate (61) and a coating (62) that reflects at a working wavelength in the range between 5 nm and 20 nm and is damaged as a result of formation of hydrogen bubbles. The method includes: localizing a damaged area (63, 64, 65, 66) in the coating (62) and covering the damaged area (63, 64, 65, 66) with one or more materials having low hydrogen permeability by applying a cover element to the damaged area. The cover element is formed of a surface structure, a convex or concave surface, or a coating corresponding to the coating of the reflective optical element, or a combination thereof. The method is particularly suitable for collector mirrors (70) for EUV lithography. After the repair, the optical elements have cover elements (71, 72, 73).Type: GrantFiled: June 6, 2019Date of Patent: August 24, 2021Assignee: CARL ZEISS SMT GMBHInventors: Robert Meier, Holger Kierey, Christof Jalics, Eric Eva, Ralf Winter, Arno Schmittner, Alexey Kuznetsov, Vitaliy Shklover, Christoph Nottbohm, Wolfgang Merkel
-
Patent number: 11099476Abstract: Some embodiments pertain to a photomask for mask patterning. The photomask includes a phase shift layer overlying a transparent layer, a first shielding layer overlying the phase shift layer, and a second shielding layer overlying the first shielding layer. The first shielding layer has a first optical density, and the second shielding layer has a second optical density. The second optical density is less than the first optical density.Type: GrantFiled: May 21, 2020Date of Patent: August 24, 2021Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chih-Chiang Tu, Chun-Lang Chen
-
Patent number: 11099481Abstract: The present disclosure provides a mask plate, an array substrate and, a preparation method thereof. The mask plate may include: at least one first light-transmitting region and at least two second light-transmitting regions arranged on the mask plate and spaced apart; the at least two second light-transmitting regions located at two sides of the at least one first light-transmitting region, respectively; an area of one of the at least two second light-transmitting regions being greater than an area of one of the at least one first light-transmitting region in a direction of a surface of the mask plate.Type: GrantFiled: August 9, 2018Date of Patent: August 24, 2021Assignee: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd.Inventor: Zhuming Deng
-
Patent number: 11094543Abstract: A method for forming a semiconductor device includes depositing a metal resist layer over a layer to be patterned that is formed over a substrate; patterning the metal resist layer using a lithography process to form a patterned metal resist layer and expose portions of the layer to be patterned; selectively depositing a silicon containing layer over the patterned resist layer by exposing the substrate to a gas mixture comprising a silicon precursor, the silicon containing layer being preferentially deposited over a top surface of the metal resist layer; and performing a surface cleaning process by exposing the layer to be patterned and the patterned metal resist layer covered with the silicon containing layer to a plasma process with an etch chemistry comprising a halogen or hydrogen.Type: GrantFiled: December 4, 2020Date of Patent: August 17, 2021Assignee: TOKYO ELECTRON LIMITEDInventors: Yun Han, Peter Ventzek, Alok Ranjan
-
Patent number: 11086213Abstract: A method comprising the steps of receiving a mask assembly comprising a mask and a removable EUV transparent pellicle held by a pellicle frame, removing the pellicle frame and EUV transparent pellicle from the mask, using an inspection tool to inspect the mask pattern on the mask, and subsequently attaching to the mask an EUV transparent pellicle held by a pellicle frame. The method may also comprise the following steps: after removing the pellicle frame and EUV transparent pellicle from the mask, attaching to the mask an alternative pellicle frame holding an alternative pellicle formed from a material which is substantially transparent to an inspection beam of the inspection tool; and after using an inspection tool to inspect the mask pattern on the mask, removing the alternative pellicle held by the alternative pellicle frame from the mask in order to attach to the mask the EUV transparent pellicle held by the pellicle frame.Type: GrantFiled: February 24, 2020Date of Patent: August 10, 2021Assignee: ASML Netherlands B.V.Inventors: Derk Servatius Gertruda Brouns, Dennis De Graaf, Robertus Cornelis Martinus De Kruif, Paul Janssen, Matthias Kruizinga, Amoud Willem Notenboom, Daniel Andrew Smith, Beatrijs Louise Marie-Joseph Katrien Verbrugge, James Norman Wiley
-
Patent number: 11086210Abstract: Disclosed are photomasks, methods of fabricating the same, and methods of manufacturing semiconductor devices using the same. The photomask comprises a substrate including a pattern region and a peripheral region around the pattern region, a reflection layer on the pattern region and extending onto the peripheral region, an absorption structure on the reflection layer, and a dielectric pattern on the absorption structure on the peripheral region and exposing the absorption structure on the pattern region.Type: GrantFiled: September 23, 2019Date of Patent: August 10, 2021Assignee: Samsung Electronics Co., Ltd.Inventors: Yigwon Kim, Sangjin Kim, Heeyoung Go, Heebom Kim, Hoon Kim, Hong-Seock Choi, Jinseok Heo
-
Patent number: 11086212Abstract: A mask and a method for manufacturing a display substrate are provided. The mask includes a transparent substrate and a light shielding layer on the transparent substrate. The light shielding layer include a first opening pattern, and the transparent substrate includes a first region, where an orthographic projection of the first region onto the light shielding layer overlaps the first opening pattern, and a light converging structure is provided at least part of a marginal region of the first region, and is constructed in such a manner that light incident on the at least part of the marginal region converges to a central region of the first opening pattern.Type: GrantFiled: May 28, 2019Date of Patent: August 10, 2021Assignees: BEIJING BOE DISPLAY TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.Inventors: Yu Xiao, Jingyang Li, Guohua Zhang, Dong Wang, Yongzhi Song
-
Patent number: 11079674Abstract: The present invention relates to a method for ascertaining a repair shape for processing at least one defect of a photolithographic mask including the following steps: (a) determining at least one correction value for the repair shape of the at least one defect, wherein the correction value takes account of a position of at least one pattern element of the photolithographic mask, said at least one pattern element not contacting the at least one defect; and (b) correcting the repair shape by applying the at least one correction value.Type: GrantFiled: September 6, 2019Date of Patent: August 3, 2021Assignee: Carl Zeiss SMT GmbHInventors: Jens Oster, Markus Waiblinger
-
Patent number: 11079671Abstract: A method for fabricating a photomask is provided. The method includes several operations. A photomask substrate, having a chip region and a peripheral region adjacent to the chip region, is received. A reference pattern is formed by emitting one first radiation shot and a first beta pattern is formed by emitting a plurality of second radiation shots in the peripheral region. The plurality of second radiation shots are emitted along a first direction. A roughness of a boundary of the first beta pattern along the first direction is compared to a roughness of a boundary of the reference pattern along the first direction from a top view perspective. An alignment of the plurality of second radiation shots is adjusted if a result of the comparison exceeds a tolerance, or the photomask is formed. A photomask structure thereof and a method for manufacturing a semiconductor are also provided.Type: GrantFiled: August 23, 2019Date of Patent: August 3, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.Inventors: Cheng-Ming Lin, Hao-Ming Chang, Chih-Ming Chen, Chung-Yang Huang
-
Patent number: 11079670Abstract: Disclosed are a manufacturing method of a phase shift mask and a phase shift mask. The manufacturing method of a phase shift mask includes: forming a pattern of metal shielding layer on a base substrate; forming a phase shift layer and a first photoresist layer in sequence on the pattern of metal shielding layer; patterning the first photoresist layer with the pattern of metal shielding layer serving as a mask to form a pattern of first photoresist layer; and etching the phase shift layer with the pattern of first photoresist layer serving as a mask to form a pattern of phase shift layer.Type: GrantFiled: September 21, 2018Date of Patent: August 3, 2021Assignees: BEIJING BOE DISPLAY TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.Inventors: Mingxuan Liu, Huibin Guo, Yongzhi Song, Xiaoxiang Zhang, Wenqing Xu, Zumou Wu, Xiaolong Li
-
Patent number: 11073755Abstract: The present disclosure provides a photolithography mask. The photolithography mask includes a substrate that contains a low thermal expansion material (LTEM). A multilayer (ML) structure is disposed over the substrate. The ML structure is configured to reflect radiation. The ML structure contains a plurality of interleaving film pairs. Each film pair includes a first film and a second film. The first film and the second film have different material compositions. Each film pair has a respective thickness. For at least a subset of the plurality of the film pairs, the respective thicknesses of the film pairs change randomly along a predefined direction.Type: GrantFiled: August 17, 2020Date of Patent: July 27, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Chih-Tsung Shih, Jeng-Horng Chen, Shinn-Sheng Yu, Anthony Yen
-
Patent number: 11072041Abstract: A method for producing a technical mask includes: providing a technical mask including at least one plate-shaped substrate, the plate-shaped substrate being transparent to at least one laser wavelength; and producing at least one opening in the mask by laser-induced deep etching. In an embodiment, an etching attack takes place at least temporarily on one side during laser-induced deep etching.Type: GrantFiled: March 5, 2018Date of Patent: July 27, 2021Assignee: LPKF LASER & ELECTRONICS AGInventors: Roman Ostholt, Norbert Ambrosius, Arne Schnoor, Daniel Dunker, Kevin Hale, Moritz Doerge, Stephan Wenke
-
Patent number: 11073757Abstract: Methods of manufacturing a pellicle assembly may include forming a sublimable support layer on a first surface of a pellicle membrane, attaching a pellicle frame to a second surface of the pellicle membrane while the sublimable support layer is on the first surface of the pellicle membrane, and sublimating the sublimable support layer while the pellicle frame is attached to the pellicle membrane. In order to manufacture a photomask assembly, a photomask is fixed to the pellicle frame such that the photomask faces the pellicle membrane with the pellicle frame therebetween.Type: GrantFiled: October 10, 2019Date of Patent: July 27, 2021Inventors: Mun Ja Kim, Seulgi Kim, Kibong Nam, Jinho Yeo, Jibeom Yoo
-
Patent number: 11075316Abstract: A method of producing a bifacial photovoltaic cell is disclosed herein, the method comprising: a) forming an n-dopant-containing layer on a first surface of a semiconductor substrate; b) forming a boron-containing layer on a second surface of the substrate by sputtering boron and/or by boron ion implantation; and c) effecting diffusion of the n-dopant and boron into the substrate, to dope the first surface with the n-dopant and the second surface with the boron. Further disclosed herein are bifacial photovoltaic cells, as well as photovoltaic modules, power plants and electric devices comprising said photovoltaic cells, comprising a semiconductor substrate, an n+ layer on a first surface thereof and a boron-containing p+ layer on a second surface thereof, wherein a variability of boron concentration in the p+ layer is no more than 5%.Type: GrantFiled: October 25, 2016Date of Patent: July 27, 2021Assignee: SolAround Ltd.Inventors: Naftali Paul Eisenberg, Lev Kreinin
-
Patent number: 11067886Abstract: A reflective photomask blank (10) of a first aspect includes a substrate (1); a reflective layer (2) formed on the substrate (1); and a light absorbing layer (4) formed on the reflective layer (2) and including a tin oxide film with a film thickness of 17 nm or more and less than 25.0 nm. Consequently, the shadowing effect of a reflective photomask for pattern transfer using extreme ultraviolet light as a light source is suppressed or reduced to improve the performance of transfer to a semiconductor substrate, and further, pattern collapse due to cleaning of the reflective photomask is suppressed.Type: GrantFiled: June 29, 2018Date of Patent: July 20, 2021Assignee: TOPPAN PRINTING CO., LTD.Inventors: Toru Komizo, Norihito Fukugami
-
Patent number: 11061331Abstract: For determining a structure-independent contribution of a lithography mask to a fluctuation of the linewidth, recorded 2D intensity distributions (15zi) of an unstructured measurement region of a lithography mask are evaluated in a spatially resolved manner.Type: GrantFiled: February 20, 2019Date of Patent: July 13, 2021Assignee: Carl Zeiss SMT GmbHInventors: Markus Koch, Dirk Hellweg, Renzo Capelli, Martin Dietzel
-
Patent number: 11061316Abstract: A mask blank that includes a thin film made of a material containing silicon and nitrogen for forming a transfer pattern on a transparent substrate. In conducting an X-ray photoelectron spectroscopy on a plurality of measurement locations in an inner region, which is a region excluding a vicinity region and a surface layer region of the thin film, in order to acquire an average value PSi_fi_av of maximum peaks PSi_fi of photoelectron intensity of Si2p narrow spectrum and conducting an X-ray photoelectron spectroscopy on a plurality of measurement locations in the transparent substrate to acquire an average value PSi_sb_av of maximum peaks PSi_sb of photoelectron intensity of Si2p narrow spectrum, (PSi_fi_av)/(PSi_sb_av) is 1.08 or more.Type: GrantFiled: November 1, 2017Date of Patent: July 13, 2021Assignees: HOYA CORPORATION, HOYA ELECTRONICS SINGAPORE PTE. LTD.Inventors: Masahiro Hashimoto, Mariko Uchida, Isao Kawasumi
-
Patent number: 11061319Abstract: A photomask blank is processed into a transmissive photomask for use in photolithography for forming a pattern on a recipient using exposure light. The photomask blank comprises a transparent substrate, a first film of a material which is etchable by chlorine/oxygen-based dry etching, and a second film of a silicon-containing material. The second film includes a layer having a refractive index n of at least 1.6 or an extinction coefficient k of at least 0.3 with respect to the wavelength of inspection light which is longer than the exposure light.Type: GrantFiled: June 4, 2018Date of Patent: July 13, 2021Assignee: SHIN-ETSU CHEMICAL CO., LTD.Inventors: Takuro Kosaka, Tsuneo Terasawa, Shigeo Irie, Takahiro Kishita
-
Patent number: 11061317Abstract: The present disclosure provides one embodiment of an IC method that includes receiving an IC design layout, which has a plurality of main features and a plurality of space blocks. The IC method also includes calculating an optimized block dummy density ratio r0 to optimize a uniformity of pattern density (UPD), determining a target block dummy density ratio R, determining size, pitch and type of a non-printable dummy feature, generating a pattern for dummy features and adding the dummy features in the IC design layout.Type: GrantFiled: July 22, 2019Date of Patent: July 13, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Jyuh-Fuh Lin, Cheng-Hung Chen, Pei-Yi Liu, Wen-Chuan Wang, Shy-Jay Lin, Burn Jeng Lin
-
Patent number: 11061320Abstract: A method for manufacturing a membrane assembly for EUV lithography, the method including: providing a stack having a planar substrate and at least one membrane layer, wherein the planar substrate includes an inner region and a border region around the inner region; and selectively removing the inner region of the planar substrate. The membrane assembly includes: a membrane formed from the at least one membrane layer; and a border holding the membrane, the border formed from the border region of the planar substrate. The stack is provided with a mechanical protection material configured to mechanically protect the border region during the selectively removing the inner region of the planar substrate.Type: GrantFiled: June 10, 2020Date of Patent: July 13, 2021Assignee: ASML Netherlands B.V.Inventors: Johan Hendrik Klootwijk, Wilhelmus Theodorus Anthonius Johannes Van Den Einden
-
Patent number: 11054737Abstract: Disclosed are a mask, a display substrate and a display device. The mask comprises a substrate, a first exposure structure, a second exposure structure located at one side of the substrate and disposed opposite to each other, the first exposure structure comprises a first light transmission film layer and a first light shielding film layer, an orthographic projection of the first light shielding film layer falls within an orthographic projection of the first light transmission film layer on the substrate; the second exposure structure comprises a second light transmission film layer and a second light shielding film layer, an orthographic projection of the second light shielding film layer falls within an orthographic projection of the second light transmission film layer on the substrate; a side edge of the first exposure structure has a first zigzag structure, and a side edge of the second exposure structure has a second zigzag structure.Type: GrantFiled: August 21, 2018Date of Patent: July 6, 2021Assignees: BOE Technology Group Co., Ltd., Beijing BOE Display Technology Co., Ltd.Inventors: Xiaoxiang Zhang, Huibin Guo, Yongzhi Song, Mingxuan Liu, Wenqing Xu, Xiaolong Li, Zumou Wu
-
Patent number: 11054745Abstract: A catoptric system having a reference axis and including a reflective pattern-source (carrying a substantially one-dimensional pattern) and a combination of only three optical components disposed sequentially to transfer EUV radiation incident the first optical component onto the pattern-source. The combination is disposed in a fixed spatial and optical relationship with respect to the pattern-source, and represents an illumination unit (IU) of a 1D EUV exposure tool that additionally includes a projection optic sub-system configured to form an optical image of the pattern-source on an image plane with the use of only two beams of radiation. These only two beams of radiation originate at the pattern-source from the EUV radiation transferred onto the pattern-source.Type: GrantFiled: October 25, 2019Date of Patent: July 6, 2021Assignee: Nikon CorporationInventors: Daniel Gene Smith, David M. Williamson
-
Patent number: 11054748Abstract: An electron beam lithography system and an electron beam lithography process are disclosed herein for improving throughput. An exemplary method for increasing throughput achieved by an electron beam lithography system includes receiving an integrated circuit (IC) design layout that includes a target pattern, wherein the electron beam lithography system implements a first exposure dose to form the target pattern on a workpiece based on the IC design layout. The method further includes inserting a dummy pattern into the IC design layout to increase a pattern density of the IC design layout to greater than or equal to a threshold pattern density, thereby generating a modified IC design layout. The electron beam lithography system implements a second exposure dose that is less than the first exposure dose to form the target pattern on the workpiece based on the modified IC design layout.Type: GrantFiled: September 21, 2018Date of Patent: July 6, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Shih-Ming Chang, Wen Lo, Chun-Hung Liu, Chia-Hua Chang, Hsin-Wei Wu, Ta-Wei Ou, Chien-Chih Chen, Chien-Cheng Chen
-
Patent number: 11054746Abstract: Generally, examples described herein relate to systems and methods for processing a substrate, and more particularly, for removing an edge bead or other source of contamination from an edge of a substrate. An example is a processing system including a chamber, a substrate handler within the chamber, and a radiation generator within the chamber. The substrate handler is configured to secure a substrate. The substrate handler is operable to position an edge surface of the substrate such that radiation propagating from the radiation generator is directed to the edge surface of the substrate, and operable to position a periphery region of a deposit surface of the substrate that is perpendicular to and along the edge surface such that radiation propagating from the radiation generator is directed to the periphery region.Type: GrantFiled: August 30, 2019Date of Patent: July 6, 2021Assignee: Applied Materials, Inc.Inventors: Banqiu Wu, Eli Dagan
-
Patent number: 11048159Abstract: A method of manufacturing a reflective mask blank includes: forming a multilayer reflective film, which is configured to reflect EUV light, on a substrate to form a substrate with a multilayer reflective film; subjecting the substrate with a multilayer reflective film to defect inspection; forming an absorber film, which is configured to absorb the EUV light, on the multilayer reflective film of the substrate with a multilayer reflective film; forming a reflective mask blank, in which an alignment region is formed in an outer peripheral edge region of a pattern formation region by removing the absorber film so that the multilayer reflective film of an area including an element serving as a reference of defect information on the multilayer reflective film is exposed in the alignment region; and performing defect management of the reflective mask blank through use of the alignment region.Type: GrantFiled: March 21, 2017Date of Patent: June 29, 2021Assignee: HOYA CORPORATIONInventors: Tsutomu Shoki, Takahiro Onoue
-
Patent number: 11042084Abstract: A photomask includes a pattern region and a plurality of defects in the pattern region. The photomask further includes a first fiducial mark outside of the pattern region, wherein the first fiducial mark includes identifying information for the photomask, the first fiducial mark has a first size and a first shape. The photomask further includes a second fiducial mark outside of the pattern region. The second fiducial mark has a second size different from the first size, or a second shape different from the first shape.Type: GrantFiled: April 30, 2019Date of Patent: June 22, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Hsin-Chang Lee, Chia-Jen Chen, Chih-Cheng Lin, Ping-Hsun Lin
-
Patent number: 11042054Abstract: The present disclosure provides a display panel and a display device, the display panel includes a first substrate, the first substrate defines a photoresist layer; a second substrate, the second substrate defines an identification region, a surface of the first substrate having the photoresist layer faces a surface of the second substrate having the identification region; and a frame glue, the frame glue is defined between the first substrate and the second substrate, and the frame glue encloses a space between the first substrate and the second substrate to form a filling area, and the identification region is defined at the outside of the frame glue; the photoresist layer defines an anti-overflow groove located at an area between the identification region and the frame glue.Type: GrantFiled: January 24, 2019Date of Patent: June 22, 2021Assignees: HKC Corporation Limited, Chongqing HKC Optoelectronics Technology Co., Ltd.Inventor: Yunqin Hu
-
Patent number: 11036127Abstract: A reflective mask blank includes a backside conductive film on a back surface of a substrate. The backside conductive film has a laminated structure including a stress compensation layer and a conductive layer in this order from the substrate side. The conductive layer includes a metal nitride. The stress compensation layer has a compressive stress and the stress compensation layer includes at least one compound selected from the group consisting of oxides, oxynitrides, and nitrides, each having an absorption coefficient (k) over the wavelength range of 400 nm to 800 nm being 0.1 or less. The conductive layer has a thickness of 5 nm or more and 30 nm or less. The backside conductive film has a total thickness of 50 nm or more.Type: GrantFiled: August 7, 2018Date of Patent: June 15, 2021Assignee: AGC INC.Inventors: Hirotomo Kawahara, Hiroshi Hanekawa, Toshiyuki Uno
-
Patent number: 11037786Abstract: A semiconductor structure includes a semiconductor substrate and a multi-layer patterning material film stack formed on the semiconductor substrate. The patterning material film stack includes a resist layer formed over one or more additional layers. The semiconductor structure further includes a metal-containing top coat formed over the resist layer. The metal-containing top coat can be formed, for example, by atomic layer deposition or spin-on deposition over the resist layer, or by self-segregation from the resist layer.Type: GrantFiled: May 6, 2019Date of Patent: June 15, 2021Assignee: International Business Machines CorporationInventors: Ekmini Anuja De Silva, Dario Goldfarb, Nelson Felix, Daniel Corliss, Rudy J. Wojtecki
-
Patent number: 11029595Abstract: A method comprising the steps of receiving a mask assembly comprising a mask and a removable EUV transparent pellicle held by a pellicle frame, removing the pellicle frame and EUV transparent pellicle from the mask, using an inspection tool to inspect the mask pattern on the mask, and subsequently attaching to the mask an EUV transparent pellicle held by a pellicle frame. The method may also comprise the following steps: after removing the pellicle frame and EUV transparent pellicle from the mask, attaching to the mask an alternative pellicle frame holding an alternative pellicle formed from a material which is substantially transparent to an inspection beam of the inspection tool; and after using an inspection tool to inspect the mask pattern on the mask, removing the alternative pellicle held by the alternative pellicle frame from the mask in order to attach to the mask the EUV transparent pellicle held by the pellicle frame.Type: GrantFiled: December 9, 2019Date of Patent: June 8, 2021Assignee: ASML Netherlands B.V.Inventors: Derk Servatius Gertruda Brouns, Dennis De Graaf, Robertus Cornelis Martinus De Kruif, Paul Janssen, Matthias Kruizinga, Arnoud Willem Notenboom, Daniel Andrew Smith, Beatrijs Louise Marie-Joseph Katrien Verbrugge, James Norman Wiley
-
Patent number: 11023651Abstract: A method for manufacturing a semiconductor device includes performing an optical proximity correction (OPC) process on a designed layout based on a final model signal obtained according to an OPC modeling process to generate a corrected layout, the OPC modeling process including, selecting a transmittance value of a sub-layout pattern of a sub-layout included in a target layout, the transmittance value being a parameter of an OPC model and representing an intensity of light that transmits through a photomask, and generating a final model signal based on the transmittance value of the sub-layout pattern, and forming a photoresist pattern on a substrate using the photomask generated based on the corrected layout.Type: GrantFiled: November 25, 2019Date of Patent: June 1, 2021Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventor: Sang Chul Yeo
-
Patent number: 11022876Abstract: Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a multilayer stack of absorber layers on the capping layer, the multilayer stack of absorber layers including a plurality of absorber layer pairs.Type: GrantFiled: March 17, 2020Date of Patent: June 1, 2021Assignee: APPLIED MATERIALS, INC.Inventor: Vibhu Jindal