Utilizing Gate Sidewall Structure Patents (Class 438/184)
  • Patent number: 6545370
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by employing composite silicon nitride sidewall spacers comprising an outer layer having reduced free silicon. Embodiments include forming composite silicon nitride sidewall spacers comprising an inner silicon nitride layer, having a refractive index of about 1.95 to about 2.05 and a thickness of about 450 Å to about 550 Å, on the side surfaces of the gate electrode and an outer silicon nitride layer, having a refractive index to less than about 1.95 and a thickness of about 350 Å to about 450 Å.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: April 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Paul R. Besser
  • Patent number: 6521529
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented, after silicidation and removal of any unreacted nickel, by treating the exposed surfaces of the silicon nitride sidewall spacers with a HDP plasma to oxidize nickel silicide thereon forming a surface layer comprising silicoin oxide and silicon oxynitride. Embodiments include treating the silicon nitride sidewall spacers with a HDP plasma to form a surface silicon oxide/silicon oxynitride region having a thickness of about 40 Å to about 50 Å.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: February 18, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Ercan Adem, Robert A. Huertas
  • Patent number: 6521519
    Abstract: This invention provides a MIS transistor with less electrical short between a gate and source/drain electrodes. A sidewall spacer 15 has a two-layer structure including a buffer layer 13 which consists of nitrided oxide silicon and a silicon nitrided layer 14 formed on the buffer layer 13. The sidewall spacer 15 serves as a mask to form a silicide film 10.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: February 18, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Satoshi Shimizu, Hidekazu Oda
  • Publication number: 20030032251
    Abstract: A method of forming a self-aligned gettering region within an SOI substrate is provided. Specifically, the inventive method includes the steps of forming a disposable spacer on each vertical sidewall of a patterned gate stack region, the patterned gate stack region being formed on a top Si-containing layer of an SOI substrate; implanting gettering species into the top Si-containing layer not protected by the disposable spacer and patterned gate stack region; and removing the disposable spacer and annealing the implanted gettering species so as to convert said species into a gettering region.
    Type: Application
    Filed: August 7, 2001
    Publication date: February 13, 2003
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tze-Chiang Chen, Thomas T. Hwang, Mukesh V. Khare, Effendi Leobandung, Anda C. Mocuta, Paul A. Ronsheim, Ghavam G. Shahidi
  • Publication number: 20030032223
    Abstract: A transistor of a semiconductor device has an increased driving capacity. The semiconductor device has a first gate insulation film formed by a selective oxidation, a second gate insulation film formed by thermal oxidation and a gate electrode formed across the first and the second gate insulation films. The second gate insulation film is composed of a thicker gate insulation film and a thinner gate insulation film.
    Type: Application
    Filed: August 9, 2002
    Publication date: February 13, 2003
    Inventors: Shuichi Kikuchi, Masaaki Momen
  • Publication number: 20030022423
    Abstract: An array apparatus has a micromachined SOI structure, such as a MEMS array, mounted directly on a class of insulative substrate, such as low temperature co-fired ceramic or a thermal-coefficient of expansion matched glass, in which is embedded electrostatic electrodes disposed in alignment with the individual MEMS elements, where the electrostatic electrodes are configured for substantial fanout. In a specific embodiment in order to compensate for differences in thermal-expansion characteristics between SOI and ceramic, a flexible mounting is effected by means of posts, bridges and/or mechanical elements which allow uneven expansion in x and y while maintaining z-axis stability. Methods according to the invention include fabrication steps wherein electrodes are fabricated to a post-fired ceramic substrate and coupled via traces through the ceramic substrate to driver modules.
    Type: Application
    Filed: July 30, 2001
    Publication date: January 30, 2003
    Inventors: Bryan P. Staker, Douglas L. Teeter, Eric L. Bogatin
  • Publication number: 20030022424
    Abstract: An array apparatus has a micromachined SOI structure, such as a MEMS array, mounted directly on a class of insulative substrate, such as low temperature co-fired ceramic or a thermal-coefficient of expansion matched glass, in which is embedded electrostatic electrodes disposed in alignment with the individual MEMS elements, where the electrostatic electrodes are configured for substantial fanout. In a specific embodiment in order to compensate for differences in thermal-expansion characteristics between SOI and ceramic, a flexible mounting is effected by means of posts, bridges and/or mechanical elements which allow uneven expansion in x and y while maintaining z-axis stability. Methods according to the invention include fabrication steps wherein electrodes are fabricated to a post-fired ceramic substrate and coupled via traces through the ceramic substrate to driver modules.
    Type: Application
    Filed: July 12, 2002
    Publication date: January 30, 2003
    Applicant: GLIMMERGLASS NETWORKS, INC.
    Inventors: Bryan P. Staker, Douglas L. Teeter, Eric L. Bogatin
  • Publication number: 20030011080
    Abstract: Divot fill methods of incorporating thin SiO2 spacer and/or annealing caps into a complementary metal oxide semiconductor (CMOS) processing flow are provided. In accordance with the present invention, the divot fill processes provide a means for protecting the exposed surfaces of the thin SiO2 spacer and/or annealing cap such that those surfaces are not capable of being attacked by a subsequent silicide pre-cleaning step. CMOS devices including thin SiO2 spacer and/or annealing caps whose surfaces are protected such that those surfaces are not capable of being attacked by a subsequent silicide pre-cleaning or other process steps are also provided.
    Type: Application
    Filed: July 11, 2001
    Publication date: January 16, 2003
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sadanand V. Deshpande, Bruce B. Doris, Rajarao Jammy, William H. Ma
  • Publication number: 20020190048
    Abstract: A method for fabricating electrically conductive silicon carbide articles by doping and sintering submicron silicon carbide particles using sub-micron alumina as the dopant source. Submicron alumina particles are made by milling aluminum powder. Despite the ductility of metallic aluminum, it is successfully ball milled in an aqueous medium through the creation and abrasion of successive layers of an alumina skin to yield alumina particles as small as 0.01 &mgr;m across. When suitably composed mixtures of the silicon carbide and alumina are molded into a green body and heated sufficiently in a non-oxidizing furnace atmosphere, the alumina breaks down to metallic aluminum which diffuses into the silicon carbide. The small particle sizes and the presence of a sintering aid enable rapid processing kinetics which favor saturation of the silicon carbide by the aluminum and inhibit grain growth.
    Type: Application
    Filed: June 15, 2001
    Publication date: December 19, 2002
    Inventor: Richard B. McPhillips
  • Publication number: 20020187595
    Abstract: A method for the production of silicon-on-insulator (SOI) wafers for controlling the device layer thickness variations and improvement of bonding quality at the interface of the wafers is disclosed. Using standard etched wafers, a unique sequence of process steps consisting of 2-step front side grinding, free-floating simultaneous double side polishing prepares wafers with low TTV and reduced edge roll off zones. The much smaller unbonded edge zone eliminates the requirements for edge grinding or etching in most cases. When the same s-step grinding/FFS-DSP sequence is applied after bonding and annealing of a Silicon-on-Insulator package, the resulting thickness variation in the device layer is usually smaller than what would be obtained from prior art processes.
    Type: Application
    Filed: October 30, 2001
    Publication date: December 12, 2002
    Applicant: Silicon Evolution, Inc.
    Inventors: Hans J. Walitzki, Kurt U. Dichmann, Thomas J. Magee, Claudian Nicolesco
  • Publication number: 20020177263
    Abstract: A technique for forming a sub-0.05 &mgr;m channel length double-gated/double channel MOSFET structure having excellent short-channel characteristics as well as the double-gated/double channel MOSFET structure itself is provided herein. The inventive technique utilizes a damascene process for the fabrication of a MOSFET device with double-gate/double channel structure. The gates are present on opposite sides of a silicon film having a vertical thickness of about 80 nm or less which is present in the gate region. The silicon film serves as the vertical channel regions of the structure and connects diffusion regions that are abutting the gate region to each other. In the inventive device, the current is double that of a conventional planar MOSFET with the same physical width due to its dual channel feature.
    Type: Application
    Filed: May 24, 2001
    Publication date: November 28, 2002
    Applicant: International Business Machines Corporation
    Inventors: Hussein I. Hanafi, Jeffrey J. Brown, Wesley C. Natzle
  • Publication number: 20020142531
    Abstract: A method of forming a semiconductor device having a simultaneously formed gate and interconnect therefore, includes preparing a silicon substrate, including isolating active areas thereon; forming an insulating layer in a gate region of an active area; depositing a first barrier metal layer; depositing a gate place-holder layer on the first barrier metal layer; etching the gate place-holder layer and the first barrier metal layer to form a gate stack; building an oxide sidewall about the gate stack; forming a source region and a drain region in the active area; depositing an oxide layer over the structure and etching the oxide layer to form a dual damascene trench to the level of the gate place-holder and to form vias for the source region and drain region; removing the gate place-holder; depositing a second barrier metal layer; depositing copper into the dual damascene trench and the vias; and removing excess copper and all portions of the second barrier metal layer to the level of the last deposited oxide l
    Type: Application
    Filed: March 29, 2001
    Publication date: October 3, 2002
    Inventors: Sheng Teng Hsu, David R. Evans
  • Patent number: 6455361
    Abstract: A gate electrode rectangular in section is formed by patterning on a GaAs substrate as a compound substrate having a channel layer. Subsequently, a specific metal, e.g., Ti is deposited. A solid-phase reaction layer to serve as source/drain is formed in a self-alignment manner with the gate electrode by a thermal treatment. The part of the Ti film which has not been reacted is then removed. Thus the source/drain (or at least one of them) are very easily formed to a shallow junction depth without using any ion implantation process. Realized is a semiconductor device showing an excellent device characteristics, capable of suppressing occurrence of short-channel effect even in its shortened gate length for reducing the device size.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: September 24, 2002
    Assignee: Fujitsu Limited
    Inventors: Mizuhisa Nihei, Yuu Watanabe
  • Publication number: 20020110966
    Abstract: A semiconductor device having a multi-layered spacer and a method of manufacturing the semiconductor device include gate electrodes each comprising a gate oxide layer, a gate conductive layer, and a capping dielectric layer formed on a semiconductor substrate, a gate polyoxide layer formed on sidewalls of the gate conductive layer and the gate oxide layer and being in contact with a predetermined portion of the semiconductor substrate, a silicon nitride layer being in contact with sidewalls of the capping dielectric layer and the gate polyoxide layer, an oxide layer being in contact with the silicon nitride layer, and an external spacer being in contact with the oxide layer.
    Type: Application
    Filed: November 26, 2001
    Publication date: August 15, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Jae-Goo Lee
  • Publication number: 20020098633
    Abstract: A process for selectively depositing a silicon oxide layer onto silicon substrates of different conductivity types is disclosed. The silicon oxide layer is formed by the ozone decomposition of TEOS at relatively low temperatures and relatively high pressures. Use of the process to produce layers, spacers, memory units, and gates is also disclosed, as well as the structures so produced.
    Type: Application
    Filed: January 31, 2002
    Publication date: July 25, 2002
    Inventors: William Budge, Gurtej S. Sandhu, Christopher W. Hill
  • Publication number: 20020076877
    Abstract: A new method of forming silicon nitride sidewall spacers has been achieved. In addition, a new device profile for a silicon nitride sidewall spacer has been achieved. An isolation region is provided overlying a semiconductor substrate. Polysilicon traces are provided. A liner oxide layer is formed overlying the polysilicon traces and the insulator layer. A silicon nitride layer is formed overlying the liner oxide layer. A polysilicon or amorphous silicon layer is deposited overlying the silicon nitride layer. The polysilicon or amorphous silicon layer is completely oxidized to form a temporary silicon dioxide layer. The temporary silicon dioxide layer is rounded in the corners due to volume expansion during the oxidation step. The temporary silicon dioxide layer is anisotropically etched through to expose horizontal surfaces of the silicon nitride layer while leaving vertical sidewalls of the temporary silicon dioxide layer.
    Type: Application
    Filed: February 19, 2002
    Publication date: June 20, 2002
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Subhash Gupta, Yelehanka Ramachandramurthy Pradeep, Vijai Kumar Chhagan
  • Publication number: 20020076876
    Abstract: A Method for manufacturing semiconductor devices having ESD protection. The method includes the steps of providing a semiconductor substrate having a well region, forming a gate structure on the semiconductor substrate, the gate structure including an oxide layer, a gate electrode on said oxide layer, and two spacer sidewalls, forming a source region within the well region at one side of the gate structure, forming a drain region within the well region at the other side of the gate structure, forming lightly doped source/drain regions in the well region and beneath the spacer walls of the gate structure wherein the lightly doped source/drain regions have the same conductivity type as the drain region and, and performing an implant with the same conductivity type as the well region as to form an ESD implantation region.
    Type: Application
    Filed: December 15, 2000
    Publication date: June 20, 2002
    Inventors: Ming-Dou Ker, Wen-Yu Lo, Peir-Jy Hu
  • Patent number: 6391661
    Abstract: Provided is a semiconductor structure that comprises a substrate; a conductor; and insulating layer separating the conductor from the substrate; and a removable conductive strap coupled to the conductor and the substrate for maintaining a common voltage between the conductor and substrate during ion beam and/or plasma processing; and a method for fabricating.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: May 21, 2002
    Assignee: International Business Machines, Corp.
    Inventors: Daniel S. Brooks, Phillip F. Chapman, John E. Cronin, Richard E. Wistrom
  • Patent number: 6380013
    Abstract: A method for fabricating a semiconductor device, and, more particularly, a method for fabricating a transistor using an epitaxial channel and a laser thermal treatment is disclosed. The method for forming a semiconductor device includes the steps of: forming a delta doping layer having impurity ions on a semiconductor substrate with a low energy ion-implantation; activating the impurity ions within the delta doping layer by thermally treating a surface of the semiconductor substrate with a laser; forming a channel epitaxial layer on the semiconductor substrate; forming a gate insulation layer and a gate electrode on the channel epitaxial layer in this order; and forming a source/drain region in the semiconductor substrate. Improved current drivability of the semiconductor device is achieved by an increase in the ion activity to adjust the threshold voltage. The delta doping effect through the low energy ion-implantation is maximized.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: April 30, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Jung-Ho Lee
  • Patent number: 6376314
    Abstract: A method of semiconductor device fabrication comprising forming at least the indentation in a surface of a semiconductor body. The indentation is partially filled with a filler material such that walls of the indentation are exposed above an upper surface of the filler material. First and second dopants are introduced through the exposed walls of the indentation and first and second doped regions formed. The first doped region extends into the semiconductor body around the filled portion of the indentation to a first region boundary which is at a predetermined first depth relative to the upper surface of the filler material. The second doped region extends into the semiconductor body around the filled portion of the indentation to a second region boundary which is at a predetermined second depth relative to the upper surface of the filler material.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: April 23, 2002
    Assignee: Zetex Plc.
    Inventor: Paul Antony Jerred
  • Patent number: 6365471
    Abstract: A method for preventing boron segregation and out diffusion to form PMOS devices is disclosed. The method includes providing a semiconductor substrates and the formation of a gate oxider layer as well as a gate layer on top of the semiconductor substrate. Next, a photoresist layer is formed on a top surface of the gate layer, moreover, a pattern is transferred onto the photoresist layer after being put through an exposure and a development. Furthermore, the gate layer and the oxide layer are then etched using the photoresist layer as a mask, and the photoresist layer is removed afterward. In succession, a thin silicon nitride layer is grown utilizing RTCVD processing. Thereafter, high doped drain regions of boron ion shallow junctions are formed by carrying out ion implantation. A silicon oxide layer is deposited using LPCVD, and forming spacers by etching the silicon oxide layer. Next, a heavy doping of boron ions proceeds, as well as an annealing process.
    Type: Grant
    Filed: June 18, 1999
    Date of Patent: April 2, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Coming Chen, Sun-Jay Chang
  • Publication number: 20020028545
    Abstract: The present invention provides a semiconductor diffusion region structure of a first conductivity type in an upper region of a semiconductor substrate of a second conductivity type, wherein the semiconductor diffusion region structure comprises: a main portion, at least a part of which is electrically connected to an electrically conductive film structure; and an extending portion which underlies a gate insulating film underlying a gate electrode layer which is also electrically connected to the electrically conductive film structure, so that an adjacent potion of the semiconductor substrate to an edge of the extending portion of the semiconductor diffusion region structure is distanced from the electrically conductive film structure whereby the semiconductor diffusion region structure is electrically isolated from the semiconductor substrate.
    Type: Application
    Filed: March 30, 1999
    Publication date: March 7, 2002
    Inventor: NORIYUKI OTA
  • Publication number: 20020025666
    Abstract: A process for selectively depositing a silicon oxide layer onto silicon substrates of different conductivity types is disclosed. The silicon oxide layer is formed by the ozone decomposition of TEOS at relatively low temperatures and relatively high pressures. Use of the process to produce layers, spacers, memory units, and gates is also disclosed, as well as the structures so produced.
    Type: Application
    Filed: September 18, 2001
    Publication date: February 28, 2002
    Inventors: William Budge, Gurtej S. Sandhu, Christopher W. Hill
  • Patent number: 6346464
    Abstract: A method of manufacturing a low power dissipation semiconductor power device is provided which is easy to perform and suitable for mass production. When a first and second conductivity-type regions are formed on a semiconductor substrate which is selectively irradiated by impurity ions, an excellent super junction is formed by controlling the ion acceleration energy and the width of each irradiated region so that the first and second conductivity-type regions may have a uniform impurity distribution and a uniform width along the direction of irradiation. Another method of manufacturing a low power dissipation semiconductor power device having an excellent super junction is provided which selectively irradiates a collimated neutron beam onto a P+ silicon ingot and forms an N+ region that has a uniform impurity distribution and a uniform width along the direction of irradiation in the P+ silicon ingot.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: February 12, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toru Takeda, Tetsujiro Tsunoda
  • Publication number: 20020011629
    Abstract: A method of forming shallow junction MOSFETs is achieved. A gate oxide layer is formed overlying a substrate. A first electrode layer, of polysilicon or metal, is deposited. A silicon nitride layer is deposited. The silicon nitride layer and the first electrode layer are etched through to form temporary MOSFET gates. Ions are implanted into the substrate to form lightly doped junctions. A spacer layer is deposited. The spacer layer and the gate oxide layer are anisotropically etched to form sidewall spacers. Ions are implanted into the substrate to form heavily doped junctions. The silicon nitride layer is etched away. A second electrode layer, of polysilicon or metal, is deposited overlying the substrate, the sidewall spacers, and the first polysilicon layer. The second electrode layer is polished down to the top surfaces of the sidewall spacers to complete the MOSFETs and to form permanent gates and conductive connections to the source and drain junctions.
    Type: Application
    Filed: August 31, 2001
    Publication date: January 31, 2002
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Lap Chan, Cher Liang Cha, Ravishankar Sundaresan
  • Publication number: 20020006693
    Abstract: A manufacturing method that prevents an enhanced diffusion while preventing channeling from occurring, and forms a local channel having a steep impurity concentration distribution with precise positioning. After forming a sacrifice film on the surface of a silicon substrate, ion implantation is performed from a perpendicular direction through a resist film mask to form a local channel. The thickness of the sacrifice film is greater than or equal to 10 nm and less than or equal to 100 nm. Indium is used as an ion species of the ion implantation.
    Type: Application
    Filed: July 12, 2001
    Publication date: January 17, 2002
    Applicant: NEC CORPORATION
    Inventor: Tomoko Matsuda
  • Publication number: 20020001890
    Abstract: A method for fabricating a semiconductor device, and, more particularly, a method for fabricating a transistor using an epitaxial channel and a laser thermal treatment is disclosed. The method for forming a semiconductor device includes the steps of: forming a delta doping layer having impurity ions on a semiconductor substrate with a low energy ion-implantation; activating the impurity ions within the delta doping layer by thermally treating a surface of the semiconductor substrate with a laser; forming a channel epitaxial layer on the semiconductor substrate; forming a gate insulation layer and a gate electrode on the channel epitaxial layer in this order; and forming a source/drain region in the semiconductor substrate. Improved current drivability of the semiconductor device is achieved by an increase in the ion activity to adjust the threshold voltage. The delta doping effect through the low energy ion-implantation is maximized.
    Type: Application
    Filed: June 22, 2001
    Publication date: January 3, 2002
    Inventor: Jung-Ho Lee
  • Publication number: 20010055842
    Abstract: Self-aligned contacts in integrated circuits can be formed on an integrated circuit substrate having an active region. A groove can be formed in the insulating layer and a conductive material can be formed in the groove to a level that is recessed in the groove. An insulating material can be formed in the groove on the conductive material that has an etch selectivity with respect to the insulating layer. A contact that is self-aligned to the active region can be then be formed.
    Type: Application
    Filed: March 9, 2001
    Publication date: December 27, 2001
    Inventors: Hyung-Soo Uh, Kyu-Hyun Lee, Tae-Young Chung, Ki-Nam Kim, Yoo-Sang Hwang
  • Patent number: 6291299
    Abstract: An improved method of forming an MOS transistor, which includes forming a polysilicon layer on a silicon dioxide layer, which is formed on a substrate. After etching the polysilicon and silicon dioxide layers to define a gate electrode and a gate oxide, dopants are implanted into the substrate. Following that implantation step, the exposed portion of the gate oxide is cleaned and sealed.
    Type: Grant
    Filed: October 14, 1999
    Date of Patent: September 18, 2001
    Assignee: Intel Corporation
    Inventor: Charles Chu
  • Publication number: 20010009291
    Abstract: A semiconductor structure comprising a plurality of gates located on a semiconductor substrate; wherein insulating spacer is provided on sidewalls of the gates; and metallic silicide located between the gates is provided along with a method for its fabrication. A partially disposable spacer permits increased area for silicide formation without degrading the device short channel behavior.
    Type: Application
    Filed: March 27, 2001
    Publication date: July 26, 2001
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Glen L. Miles
  • Patent number: 6200866
    Abstract: A method of fabricating a MOSFET is provided, including: depositing an oxide layer on a silicon substrate for device isolation; forming a silicon based alloy island above a gate region in the substrate, wherein the silicon based alloy comprises a silicon germanium alloy or a silicon tin alloy or another alloy of Group IV-B elements; building a sidewall about the silicon based alloy island; forming a source region and a drain region in the substrate; removing the silicon based alloy island, thereby leaving a void over the gate region; filing the void and the areas over the source region and the drain region; and planarizing the upper surface of the structure by chemical mechanical polishing. Alternative embodiments providing conventional and raised source/drain structures are disclosed.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: March 13, 2001
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Yanjun Ma, Douglas J. Tweet, David R. Evans, Yoshi Ono
  • Patent number: 6200840
    Abstract: A method for preventing boron segregation and out diffusion to form PMOS devices is disclosed. The method includes a semiconductor substrate which is provided and forms a gate oxide layer as well as a gate layer on top of the semiconductor substrate. Next, a photoresist layer is formed on a top surface of the gate layer, moreover, pattern transfers onto the photoresist layer after going through an exposure and a development. Furthermore, the gate layer and the gate oxide layer are then etched by using the photoresist layer as a mask, and the photoresist layer is removed afterward. In succession, a thin nitride oxide (NO, N2O) layer is grown by utilizing rapid thermal oxidation (RTO) and rapid thermal nitridation (RTN). Hereafter, high doped drain regions of boron ion shallow junctions are formed by carrying out ion implantation. A TEOS layer and a silicon nitride layer are deposited by using LPCVD, and forming spacers by etching the silicon nitride layer and the TEOS layer.
    Type: Grant
    Filed: June 25, 1999
    Date of Patent: March 13, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Coming Chen, Jih-Wen Chou
  • Patent number: 6174756
    Abstract: An efficient method of forming deep junction implants in one region without affecting the implant of a second region of an integrated circuit is provided. This is achieved by forming spacers of deep junction devices with the same material used to fill the gaps of shallow junction devices.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: January 16, 2001
    Assignee: Siemens Aktiengesellschaft
    Inventors: Jeffrey P. Gambino, Johann Alsmeier, Gary Bronner
  • Patent number: 6140169
    Abstract: A GaN-type field effect transistor exhibits a large input amplitude by using a gate insulating film. A channel layer and a gate insulating film are sequentially laminated on a substrate with a buffer layer therebetween. A gate electrode is formed on the gate insulating film. A source electrode and a drain electrode are disposed at the both sides of the gate electrode and are electrically connected to the channel layer via openings. The channel layer is formed from n-type GaN. The gate insulating film is made from AlN, which exhibits excellent insulation characteristics, thus increasing the Schottky barrier and achieving a large input amplitude. If the FET is operated in the enhancement mode, it is operable in a manner similar to a Si-MOS-type FET, resulting in the formation of an inversion layer.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: October 31, 2000
    Assignee: Sony Corporation
    Inventors: Hiroji Kawai, Shunji Imanaga
  • Patent number: 6133131
    Abstract: The present invention relates to a method of forming a gate spacer on the semiconductor wafer. Two dielectric layers are first formed on the surface of the semiconductor wafer, the first dielectric layer is an USG dielectric layer and the second dielectric layer is a SOG dielectric layer. The SOG dielectric layer is formed by a spincoating process to create a flat surface on the semiconductor wafer. Afterward, the plasma etching, wet etching and dry etching processes are sequentially performed to remove the SOG dielectric layer and USG dielectric layer. Finally, the spacer is formed on the side-wall of the gate.
    Type: Grant
    Filed: April 19, 1999
    Date of Patent: October 17, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Yeong-Chih Lai
  • Patent number: 6103578
    Abstract: An n type diffusion region and a p type diffusion region are formed in a region sandwiched between trenches arranged at a first main surface of a semiconductor substrate. A p type well is formed in the n- and p-type diffusion regions nearer the first main surface. A source n.sup.+ diffusion region is formed at the first main surface within the p type well. A gate electrode layer is formed opposite to the p type well sandwiched between the n type diffusion region and the source n.sup.+ diffusion region with a gate insulating layer disposed therebetween. The n- and p-type diffusion regions each have an impurity concentration distribution diffused from a sidewall surface of a trench. Thus, a fine, micron-order pn repeat structure can be achieved with sufficient precision and a high breakdown voltage semiconductor device is thus obtained which has superior on-state voltage and breakdown voltage as well as fast switching characteristics.
    Type: Grant
    Filed: April 2, 1999
    Date of Patent: August 15, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Akio Uenishi, Tadaharu Minato
  • Patent number: 6096636
    Abstract: A semiconductor processing method of forming a plurality of conductive lines includes, a) providing a substrate; b) providing a first conductive material layer over the substrate; c) providing a first insulating material layer over the first conductive layer; d) etching through the first insulating layer and the first conductive layer to the substrate to both form a plurality of first conductive lines from the first conductive layer and provide a plurality of grooves between the first lines, the first lines being capped by first insulating layer material, the first lines having respective sidewalls; e) electrically insulating the first line sidewalls; and f) after insulating the sidewalls, providing the grooves with a second conductive material to form a plurality of second lines within the grooves which alternate with the first lines. Integrated circuitry formed according to the method, and other methods, is also disclosed.
    Type: Grant
    Filed: October 31, 1996
    Date of Patent: August 1, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Monte Manning
  • Patent number: 6096624
    Abstract: A method for forming ETOX cells (Intel Type Flash EPROM Cell) using a self-aligned source etching process comprising the steps of depositing a silicon nitride layer up to a thickness of 100 .ANG. to 700 .ANG., and then etching back the layer to form spacers. Thereafter, common source regions are defined using a photomask, and then the field oxide layer is etched using either a wet etching method or a dry etching method having a high selectivity ratio. The spacers are capable of protecting the oxide/nitride/oxide ONO layer against any damages during processing, thereby avoiding charge retention and reliability problems.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: August 1, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Hwi-Huang Chen, Gary Hong
  • Patent number: 6037630
    Abstract: A first polysilicon film which contains phosphorus as an impurity is formed on a semiconductor substrate. A second polysilicon film which is higher in phosphorus concentration than the first polysilicon film is formed on the first polysilicon film. The second polysilicon film is anisotropically etched to expose a surface of the first polysilicon film. Thermal oxidation is then performed. A surface of the first polysilicon film and a surface of the second polysilicon film are oxidized according to their respective oxidization rates depending on their respective phosphorus concentrations. Thus, a semiconductor device in which the size of the gate electrode can be readily controlled and damage to the semiconductor substrate or the like can be suppressed, is obtained.
    Type: Grant
    Filed: November 21, 1997
    Date of Patent: March 14, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Motoshige Igarashi, Hiroyuki Amishiro, Keiichi Higashitani
  • Patent number: 6027959
    Abstract: A method is provided for removing an bottom anti-reflective coating (BARC) from a transistor gate during an etch back process associated with a nitride resistor protect etch process. The method includes removing a silicon oxynitride BARC, in-situ, during an oxide resistor protect etching process using a plasma formed with CF.sub.4 gas, CHF.sub.3 gas, O.sub.2 gas, and Argon (Ar) gas.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: February 22, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William G. En, Minh Van Ngo, Olov B. Karlsson, Maria Chow Chan
  • Patent number: 5930636
    Abstract: A Schottky barrier diode and a method for fabricating a Schottky barrier diode that utilizes HBT active device layers. The Schottky barrier diode is formed with a vertically integrated profile on a GaAs substrate, with a subcollector layer and a collector layer. A suitable dielectric material is deposited on top of the collector layer. Vias are formed in the collector layer and subcollector layer for the barrier and ohmic contacts. The collector via is relatively deeply etched into the collector layer to lower the series resistance between the barrier and ohmic contacts, which results in relatively higher cut-off frequency performance.
    Type: Grant
    Filed: May 13, 1996
    Date of Patent: July 27, 1999
    Assignee: TRW Inc.
    Inventors: Aaron K. Oki, Donald K. Umemoto, Liem T. Tran, Dwight C. Streit
  • Patent number: 5895955
    Abstract: A transistor and transistor fabrication method are presented where a sequence of layers are formed and either entirely or partially removed upon sidewall surfaces of a gate conductor. The formation and removal of layers produces a series of laterally spaced surfaces to which various implants can be aligned. Those implants, placed in succession produce a highly graded junction having a relatively smooth doping profile. The multilayer spacer structure comprises a polysilicon spacer interposed between a grown oxide and an etch stop. The polysilicon spacer is formed by an anisotropic etch, and the pre-existing etch stop prevents the anisotropic etch from damaging the source/drain and gate conductor regions beneath the etch stop. Further, the etch stop allows removal of the overlying oxide as well as the entire polysilicon during times when the multi-layer spacer is entirely removed. Removal of the various layers does not damage the underlying substrate due to the presence of the etch stop.
    Type: Grant
    Filed: January 10, 1997
    Date of Patent: April 20, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Fred N. Hause, H. Jim Fulford, Jr.
  • Patent number: 5888860
    Abstract: A method of fabricating an FET includes forming an active layer including a low dopant concentration layer, forming a recess in the active layer so that the bottom of the recess is present within the low dopant concentration semiconductor layer, forming side walls in the recess, and forming a gate electrode in the-recess using the side walls as masks. The gate length can be precisely reduced by the side walls. Further, even when the active layer is anisotropically etched to form the side walls, the low dopant concentration semiconductor layer is subjected to the etching. Therefore, a part of the active layer where a greater part of channel current flows is not adversely affected by the etching. Therefore, any variation in the thickness of the active layer does not vary the channel current of the transistor.
    Type: Grant
    Filed: May 17, 1996
    Date of Patent: March 30, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yasutaka Kohno, deceased
  • Patent number: 5888890
    Abstract: A method of manufacturing a field effect transistor according to the present invention is disclosed including the steps of preparing a semiconductor substrate; forming an insulating film for use as high concentration on the semiconductor substrate; forming an insulating film for use as low concentration on the insulating film for use as high concentration; performing a heat treatment on the insulating films to thereby diffuse impurities; forming high concentration regions and low concentration region in the surface of the semiconductor substrate; forming mesa and electrodes on the upper surface and side of the semiconductor substrate; and selectively etching the insulating film for use as low concentration so as to expose a predetermined portion of the upper surface of the semiconductor substrate, to thereby form a gate electrode so as to be in contact with the low concentration region of the predetermined portion.
    Type: Grant
    Filed: October 31, 1997
    Date of Patent: March 30, 1999
    Assignee: LG Semicon Co., Ltd.
    Inventor: Kee Chul Kim
  • Patent number: 5882961
    Abstract: A semiconductor device (20) is fabricated by doping a dielectric layer (29) located over the surface of a semiconductor substrate (21). The dielectric layer (29) contains nitrogen and is doped with silicon ions by using an ion implantation process (15) such that a peak concentration (32) of the silicon ions remains in the dielectric layer (29) during the ion implantation process (15). Doping the dielectric layer (29) reduces charge trapping in the dielectric layer (29) and reduces power slump in the semiconductor device (20) during high frequency operation.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: March 16, 1999
    Assignee: Motorola, Inc.
    Inventors: Lawrence S. Klingbeil, Jr., Mark R. Wilson
  • Patent number: 5804846
    Abstract: The present invention is directed to a process for forming a self-aligned raised source/drain MOS device comprising a planarized metal layer, preferably tungsten, overlying a source, a drain, and a gate that is provided on both sides with an insulating spacer to electrically isolate it from the source and drain. The planarized tungsten layer comprises a first portion whose lower surface is in contact with a polysilicon layer of the gate. The lower surface of each of the second and third portions of the tungsten layer is in contact with the source and drain, respectively. The second and third portions are insulated from the first portion by the insulating spacers, and the upper surfaces of all the portions comprise a coplanar surface. Planarization of the deposited metal layer thus provides ohmic contact at substantially the same level to the source, drain, and gate.
    Type: Grant
    Filed: May 28, 1996
    Date of Patent: September 8, 1998
    Assignee: Harris Corporation
    Inventor: Robert T. Fuller
  • Patent number: 5773334
    Abstract: A semiconductor device is manufactured by a process comprising the steps of forming a cover film on a surface of a semiconductor substrate such that the cover film exposes a portion of the surface, covers a remaining portion thereof and has an edge along a boundary between the exposed portion and the covered portion, forming a first conductor film in a range from the cover film formed in the cover film forming step through the edge to the exposed surface portion of the semiconductor substrate, removing the first conductor film formed in the first conductor film forming step other than a portion formed along the edge such that the first conductor film is left along the edge, forming an insulating film on the opposite sides of the first conductor film left along the edge in the removing step such that a top edge of the left first conductor film is exposed, and forming a second conductor film on the surface of the insulating film formed in the insulating film forming step along the exposed top edge of the first
    Type: Grant
    Filed: September 21, 1995
    Date of Patent: June 30, 1998
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Toyokazu Ohnishi, Akinori Seki
  • Patent number: 5759880
    Abstract: A method of fabricating semiconductor devices including forming a plurality of layers of semiconductor material on the surface of a substrate, forming a mask without using a resist on the layers which can be disassociated in-situ, removing an unmasked portion of the layers to form a semiconductor device with a gate region and opposed exposed source and drain surfaces, selectively growing source and drain contact regions on the exposed source and drain surfaces respectively, the contact regions defining opposed sidewalls adjacent the gate region, disassociating the mask, forming sidewall spacers on the sidewalls, forming a metal contact on the source, drain and gate regions with the spacers preventing intercontact therebetween, and depositing a passivating layer over the semiconductor device, with all of the previous steps being performed in-situ in a modular equipment cluster.
    Type: Grant
    Filed: January 2, 1997
    Date of Patent: June 2, 1998
    Assignee: Motorola, Inc.
    Inventors: Kumar Shiralagi, Raymond K. Tsui
  • Patent number: 5631186
    Abstract: The present invention discloses a method for making a dynamic random access memory by silicon-on-insulator comprising the steps of: dividing a cell area and a peripheral area on a first silicon substrate and recessing just the cell area where a memory device is formed; forming a first insulating layer by isolation of electrical elements in order to divide an active region and a passive region; forming and patterning a first conductive layer through a contact to which the active region and a capacitor are connected on the insulating layer to form a storage node; forming a dielectric layer of the capacitor on the storage node; forming and patterning a polysilicon layer on the dielectric layer to form a storage node; forming a second insulating layer on the plate node and planarizing the insulating layer by thermal treatment; forming a third conductive layer to a predetermined thickness on the planarized insulating layer; polishing and planarizing the third conductive layer by chemical-mechanical polishing techn
    Type: Grant
    Filed: December 28, 1995
    Date of Patent: May 20, 1997
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyucharn Park, Yeseung Lee, Cheonsu Ban, Kyungwook Lee
  • Patent number: 5631175
    Abstract: A field effect transistor (10) has an active layer (16) formed in a substrate (12). A gate (20) is disposed on an elevated platform (18) formed from the active layer (16). The elevated platform (18) raises the bottom surface (21) of the gate (20) relative to the top surface (34, 36) of the active region (13) on either side of the gate (20). A fabrication method for the transistor (10) forms the elevated platform (18) by etching the active region surface (44) on both sides of the gate (20) so that the bottom surface (21) of the gate (20) is elevated relative to the top surface (34) of the surrounding active region (13). The gate (20) itself and/or a patterned photoresist layer (116) may be used as a mask for performing this etch.
    Type: Grant
    Filed: January 17, 1996
    Date of Patent: May 20, 1997
    Assignee: Motorola, Inc.
    Inventors: James G. Gilbert, Lawrence S. Klingbeil, Jr., David J. Halchin, John M. Golio