Making Plural Insulated Gate Field Effect Transistors Of Differing Electrical Characteristics Patents (Class 438/275)
  • Publication number: 20120292708
    Abstract: A semiconductor structure having combined substrate high-K metal gate device and an oxide-polysilicon gate device and a process of fabricating same are provided. The semiconductor structure enables mixed low power/low voltage and high power/high voltage applications to be supported on the same chip.
    Type: Application
    Filed: June 2, 2011
    Publication date: November 22, 2012
    Applicant: Broadcom Corporation
    Inventors: Xiangdong CHEN, Wei Xia
  • Patent number: 8313993
    Abstract: A dual work function semiconductor device and method for fabricating the same are disclosed. In one aspect, a device includes a first and second transistor on a first and second substrate region. The first and second transistors include a first gate stack having a first work function and a second gate stack having a second work function respectively. The first and second gate stack each include a host dielectric, a gate electrode comprising a metal layer, and a second dielectric capping layer therebetween. The second gate stack further has a first dielectric capping layer between the host dielectric and metal layer. The metal layer is selected to determine the first work function. The first dielectric capping layer is selected to determine the second work function.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: November 20, 2012
    Assignees: IMEC, Samsung Electronics Co., Ltd., Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hag-Ju Cho, Anabela Veloso, HongYu Yu, Stefan Kubicek, Shou-Zen Chang
  • Patent number: 8309414
    Abstract: A first transistor includes a first gate insulating film, a first gate electrode, and a first sidewall. A second transistor includes a second gate insulating film, a second gate electrode, and a second sidewall. A capacitive element is connected to one side of source and drain regions of the second transistor. The first gate insulating film has the same thickness as that of the second gate insulating film, and the first gate electrode has the same thickness of that of the second gate electrode. The width of the second sidewall is larger than the width of the first sidewall.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: November 13, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Toru Kawasaki, Satoshi Kura, Mitsuo Nissa, Naotaka Kamishita
  • Patent number: 8309411
    Abstract: A method of fabricating a semiconductor device includes forming an interlayer dielectric on a substrate, the interlayer dielectric including first and second openings respectively disposed in first and second regions formed separately in the substrate; forming a first conductive layer filling the first and second openings; etching the first conductive layer such that a bottom surface of the first opening is exposed and a portion of the first conductive layer in the second opening remains; and forming a second conductive layer filling the first opening and a portion of the second opening.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hoonjoo Na, Sangjin Hyun, Yugyun Shin, Hongbae Park, Sughun Hong, Hye-Lan Lee, Hyung-Seok Hong
  • Patent number: 8304317
    Abstract: A method of patterning a plurality of polysilicon structures includes forming a polysilicon layer over a semiconductor body, and patterning the polysilicon layer to form a first polysilicon structure using a first patterning process that reduces line-edge roughness (LER). The method further includes patterning the polysilicon layer to form a second polysilicon structure using a second patterning process that is different from the first patterning process after performing the first patterning process.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: November 6, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Yiming Gu, James Walter Blatchford
  • Patent number: 8304310
    Abstract: The disclosure pertains to a semiconductor device and its manufacture method, the semiconductor device including non-volatile memory cells and a peripheral circuit including field effect transistors having an insulated gate. A semiconductor device and its manufacture method are to be provided, the semiconductor device having memory cells with a high retention ability and field effect transistors having an insulated gate with large drive current. The semiconductor device has a semiconductor substrate (1) having first and second areas (AR1, AR2), a floating gate structure (4, 5, 6, 7, 8) for a non-volatile memory cell, a control gate structure (14) formed coupled to the floating gate structure, formed in the first area, and an insulated gate electrode (12, 14) for a logical circuit formed in the second area, wherein the floating gate structure has bird's beaks larger than those of the insulated gate electrode.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: November 6, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hiroshi Hashimoto, Kazuhiko Takada
  • Patent number: 8304306
    Abstract: A method for forming a semiconductor device includes forming a first field effect transistor (FET) and a second FET on a substrate, the first FET comprising a first interfacial oxide layer, and the second FET comprising a second interfacial oxide layer; encapsulating the first interfacial oxide layer of the first FET; and performing lateral oxidation of the second interfacial oxide layer of the second FET, wherein the lateral oxidation of the second interfacial oxide layer of the second FET converts a portion of the substrate located underneath the second FET into additional interfacial oxide.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: November 6, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Eduard A. Cartier, Martin M. Frank, Marwan H. Khater
  • Patent number: 8304307
    Abstract: An integrated circuit and gate oxide forming process are disclosed which provide a gate structure that is simple to integrate with conventional fabrication processes while providing different gate oxide thicknesses for different transistors within the integrated circuit. For a flash memory, which may utilize the invention, the different gate oxide thicknesses may be used for lower voltage transistors, memory array transistors, and higher voltage transistors.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: November 6, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Xianfeng Zhou
  • Publication number: 20120275207
    Abstract: An integrated circuit having an SRAM cell includes a pair of cross-coupled inverters with first driver and load transistors connected to provide a first storage node and second driver and load transistors connected to provide a second storage node. The SRAM cell also includes first and second pass gate transistors controlled by at least one word line and respectively connected between a first bit line and the first storage node and a second bit line and the second storage node; wherein a first driver transistor threshold voltage is different than a second driver transistor threshold voltage and one of the first and second driver threshold voltages is different than a pass gate transistor threshold voltage. Alternately, a threshold voltage of the first and second driver transistors is different than a symmetrical pass gate transistor threshold voltage. Additionally, methods of manufacturing an integrated circuit having an SRAM cell are provided.
    Type: Application
    Filed: April 29, 2011
    Publication date: November 1, 2012
    Applicant: Texas Instruments Incorporated
    Inventors: Theodore W. Houston, Puneet Kohli, Amitava Chatterjee
  • Patent number: 8298894
    Abstract: In a replacement gate approach in sophisticated semiconductor devices, a tantalum nitride etch stop material may be efficiently removed on the basis of a wet chemical etch recipe using ammonium hydroxide. Consequently, a further work function adjusting material may be formed with superior uniformity, while the efficiency of the subsequent adjusting of the work function may also be increased. Thus, superior uniformity, i.e., less pronounced transistor variability, may be accomplished on the basis of a replacement gate approach in which the work function of the gate electrodes of P-channel transistors and N-channel transistors is adjusted after completing the basic transistor configuration.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: October 30, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Markus Lenski, Klaus Hempel, Vivien Schroeder, Robert Binder, Joachim Metzger
  • Patent number: 8293610
    Abstract: By providing a CMP stop layer in a metal gate stack, the initial height thereof may be efficiently reduced after the definition of the deep drain and source areas, thereby providing enhanced process conditions for forming highly stressed dielectric materials. Consequently, the dielectric material may be positioned more closely to the channel region substantially without deteriorating gate conductivity.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: October 23, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sven Beyer, Rolf Stephan, Martin Trentzsch, Patrick Press
  • Patent number: 8294222
    Abstract: Band edge engineered Vt offset devices, design structures for band edge engineered Vt offset devices and methods of fabricating such structures is provided herein. The structure includes a first FET having a channel of a first compound semiconductor of first atomic proportions resulting in a first band structure and a first type. The structure further includes a second FET having a channel of a second compound semiconductor of second atomic proportions resulting in a second band structure and a first type. The first compound semiconductor is different from the second compound semiconductor such that the first FET has a first band structure different from second band structure, giving rise to a threshold voltage different from that of the second FET.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: October 23, 2012
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 8293605
    Abstract: Methods are provided for fabricating a CMOS integrated circuit having a dual stress layer without NiSi hole formation. One method includes depositing a tensile stress layer overlying a semiconductor substrate. A portion of the tensile stress layer is removed, leaving a remaining portion, before applying a curing radiation. A curing radiation is then applied to the remaining portion; and a compressive stress layer is deposited overlying the semiconductor substrate and the remaining portion.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: October 23, 2012
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Peter Baars, Marco Lepper, Clemens Fitz
  • Patent number: 8293596
    Abstract: A growth mask provided for the deposition of a threshold adjusting semiconductor alloy may be formed on the basis of a deposition process, thereby obtaining superior thickness uniformity. Consequently, P-channel transistors and N-channel transistors with an advanced high-k metal gate stack may be formed with superior uniformity.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: October 23, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Carsten Reichel, Annekathrin Zeun, Thorsten Kammler
  • Patent number: 8293639
    Abstract: A method for controlling an ADI-AEI CD difference ratio of openings having different sizes is described. The openings are formed through a silicon-containing material layer, an etching resistive layer and a target material layer in turn. Before the opening etching steps, at least one of the opening patterns in the photoresist mask is altered in size through photoresist trimming or deposition of a substantially conformal polymer layer. A first etching step forming thicker polymer on the sidewall of the wider opening pattern is performed to form a patterned Si-containing material layer. A second etching step is performed to remove exposed portions of the etching resistive layer and the target material layer. At least one parameter among the parameters of the photoresist trimming or polymer layer deposition step and the etching parameters of the first etching step is controlled to obtain a predetermined ADI-AEI CD difference ratio.
    Type: Grant
    Filed: February 16, 2009
    Date of Patent: October 23, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yih Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin
  • Patent number: 8288232
    Abstract: An improvement is provided in a manufacturing yield of a semiconductor device including transistors in which gate insulating films have different thicknesses. After a high-breakdown-voltage insulating film is formed over a silicon substrate, a surface of the high-breakdown-voltage insulating film is abraded for a reduction in the thickness thereof so that a middle-breakdown-voltage insulating film is formed to be adjacent to the high-breakdown-voltage insulating film. The high-breakdown-voltage insulating film is formed by a thermal oxidation method so as to extend from an inside of the main surface of the silicon substrate to an outside thereof. The middle-breakdown-voltage insulating film is formed so as to be thinner than the high-breakdown-voltage insulating film.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: October 16, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Yasuhiro Fujii, Kazumasa Yonekura, Tatsunori Kaneoka
  • Patent number: 8283222
    Abstract: A method for fabricating an integrated circuit device is disclosed which includes providing a substrate having first, second, and third regions; and forming first, second, and third gate structures in the first, second, and third regions, respectively. The first, second, and third gate structures include a gate dielectric layer, the gate dielectric layer being a first thickness in the first gate structure, a second thickness in the second gate structure, and a third thickness in the third gate structure. Forming the gate dielectric layer of the first, second, and third thicknesses can include forming an etching barrier layer over the gate dielectric layer in at least one of the first, second, or third regions while forming the first, second, and third gate structures, and/or prior to forming the gate dielectric layer in at least one of the first, second, or third regions, performing an implantation process on the at least one region.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: October 9, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuang-Yuan Hsu, Da-Yuan Lee, Wei-Yang Lee, Hun-Jan Tao
  • Patent number: 8283225
    Abstract: High-k metal gate electrode structures are formed on the basis of a threshold adjusting semiconductor alloy formed in the channel region of one type of transistor, which may be accomplished on the basis of selective epitaxial growth techniques using an oxide hard mask growth mask. The hard mask may be provided with superior thickness uniformity on the basis of a wet oxidation process. Consequently, this may allow re-working substrates prior to the selective epitaxial growth process, for instance in view of queue time violations, while also providing superior transistor characteristics in the transistors that do not require the threshold adjusting semiconductor alloy.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: October 9, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Carsten Reichel, Falk Graetshe, Boris Bayha
  • Publication number: 20120248549
    Abstract: A method for improving the reverse breakdown voltage between P-well and N-well and related semiconductor silicon devices are described herein. In one aspect, a semiconductor silicon device comprises a substrate; a P-well in said substrate; an N-well in said substrate; wherein said N-well and said P-well are separated by said substrate. In another aspect, a method for increasing the reverse breakdown voltage from P-well to N-well comprises: providing a substrate; forming an N-well and a P-well in said substrate and separating said N-well and said P-well by said substrate.
    Type: Application
    Filed: September 22, 2011
    Publication date: October 4, 2012
    Applicant: BEIJING KT MICRO, LTD.
    Inventors: Rongrong Bai, Zhongzhi Liu, Jing Cao, Yihai Xiang
  • Patent number: 8278173
    Abstract: A method includes: forming first and second projections; forming a first structure engaging the first projection, and including: a non-metallic conductive layer, and a first opening over the conductive layer; forming a second structure engaging the second projection, and including: a second opening; and conformally depositing a pure metal in the first and second openings. A different aspect involves an apparatus including: a first device that includes a first projection and a first gate structure, the first projection extending from a substrate, and the first gate structure engaging the first projection, and including an opening, and a conformal, pure metal disposed in the opening; and a second device that includes a second projection and a second gate structure, the second projection extending from the substrate, and the second gate structure engaging the second projection, and including a silicide including a metal that is the same metal disposed in the opening.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: October 2, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Peng-Soon Lim, Chia-Pin Lin, Kuang-Yuan Hsu
  • Patent number: 8278661
    Abstract: A display device and a manufacturing method thereof, include a first thin film transistor including a first control electrode, a first semiconductor disposed on the first control electrode, and a first input electrode and a first output electrode opposite to each other on the first semiconductor; and a second thin film transistor including a second control electrode, a second semiconductor disposed on the second control electrode, and a second input electrode and a second output electrode opposite to each other on the second semiconductor, wherein the first semiconductor includes a first lower semiconductor including polysilicon, and a first upper semiconductor disposed on the first lower semiconductor, the first upper semiconductor including amorphous silicon.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: October 2, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Ho Song, Joo-Han Kim, Hyung-Jun Kim, Sung-Haeng Cho, Ki-Hun Jeong, Seung-Hwan Shim
  • Patent number: 8278703
    Abstract: A non-planar transistor having floating body structures and methods for fabricating the same are disclosed. In certain embodiments, the transistor includes a fin having upper and lower doped regions. The upper doped regions may form a source and drain separated by a shallow trench formed in the fin. During formation of the fin, a hollow region may be formed underneath the shallow trench, isolating the source and drain. An oxide may be formed in the hollow region to form a floating body structure, wherein the source and drain are isolated from each other and the substrate formed below the fin. In some embodiments, independently bias gates may be formed adjacent to walls of the fin. In other embodiments, electrically coupled gates may be formed adjacent to the walls of the fin.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: October 2, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 8268689
    Abstract: A method for fabricating a field effect transistor device includes forming a first conducting channel and a second conducting channel, forming a first gate stack on the first conducting channel to partially define a first device, forming second gate stack on the second conducting channel to partially define a second device, implanting ions to form a source region and a drain region connected to the first conducting channel and the second conducting channel, forming a masking layer over second device, a portion of the source region and a portion of the drain region, performing a first annealing process operative to change a threshold voltage of the first device, removing a portion of the masking layer to expose the second device, and performing a second annealing process operative to change the threshold voltage of the first device and a threshold voltage of the second device.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: September 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Dechao Guo, Keith Kwong Hon Wong
  • Patent number: 8258569
    Abstract: A plurality of NAND cells are arranged in a cell array. In each of the NAND cells, a pair of selection gate transistors is connected in series to a plurality of memory cell transistors. An inter-gate connection trench is formed in an insulating film between layers of stacked gates of the selection gate transistors. The stacked gates are electrically connected to each other. At an end part of the cell array in the row direction, an STI area is formed, and dummy NAND cells are formed at an end part in the row direction. A dummy selection gate transistor is connected in series to a plurality of dummy memory cell transistors. No inter-gate connection trench is present in an insulating film between layers of stacked gates of the dummy selection gate transistor, and the stacked gates of the dummy selection gate transistor are not electrically connected to each other.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: September 4, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masanori Hatakeyama, Osamu Ikeda
  • Patent number: 8252649
    Abstract: Methods of fabricating semiconductor devices and structures thereof are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes forming a gate material stack over a workpiece having a first region and a second region. A composition or a thickness of at least one of a plurality of material layers of the gate material stack is altered in at least the second region. The gate material stack is patterned, forming a first transistor in the first region and forming a second transistor in the second region. Altering the composition or the thickness of the at least one of the plurality of material layers of the gate material stack in at least the second region results in a first transistor having a first threshold voltage and a second transistor having a second threshold voltage, the second threshold voltage having a different magnitude than the first threshold voltage.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: August 28, 2012
    Assignee: Infineon Technologies AG
    Inventors: Knut Stahrenberg, Jin-Ping Han
  • Patent number: 8252650
    Abstract: A method for fabricating MOS transistor includes the steps of: overlapping a second stress layer on an etching stop layer and a first stress layer at a boundary region of the substrate; forming a dielectric layer on the first stress layer and the second stress layer; performing a first etching process to partially remove the dielectric layer for exposing a portion of the second stress layer at the boundary region; performing a second etching process to partially remove the exposed portion of the second stress layer for exposing the etching stop layer; performing a third etching process to partially remove the exposed portion of the etching stop layer for exposing the first stress layer at the boundary region; and performing a fourth etching process partially remove the exposed portion of the first stress layer.
    Type: Grant
    Filed: April 22, 2011
    Date of Patent: August 28, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yi Chang, Yi-Po Lin, Jiunn-Hsiung Liao, Shang-Yuan Tsai, Chih-Wen Feng, Shui-Yen Lu, Ching-Pin Hsu
  • Patent number: 8249737
    Abstract: The present invention discloses a rapid thermal annealing method for a semiconductor device, which includes the steps of: establishing a ternary correspondence relationship among a device electrical parameter, an annealing temperature, and an STI distribution density; deriving an STI distribution density in a specific area of the semiconductor device and a target STI distribution density; determining whether the STI distribution density in the specific area is larger than the target STI distribution density; if the STI distribution density in the specific area is larger than the target STI distribution density, adding a virtual structure in the specific area to make the STI distribution density in the specific area equal to the target STI distribution density; and deriving from the ternary correspondence relationship a target annealing temperature corresponding to the target STI distribution density and performing an annealing process with the annealing temperature on the semiconductor device to achieve a tar
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: August 21, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corp.
    Inventors: Jianhua Ju, Xianjie Ning
  • Patent number: 8247280
    Abstract: A method of fabricating a semiconductor device is provided that includes providing a semiconductor substrate having a first portion and a second portion, forming a first transistor in the first portion of the substrate, the first transistor being operable at a first voltage, and forming a second transistor in the second portion of the substrate, the second transistor being operable at a second voltage greater than the first voltage. The formation of the second transistor includes forming an extended feature of the second transistor with a photomask that is used to adjust a threshold voltage of the first transistor.
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: August 21, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jan Sonsky, Anco Heringa
  • Patent number: 8247279
    Abstract: A semiconductor device according to one embodiment includes: a first transistor comprising a first gate electrode formed on a semiconductor substrate via a first gate insulating film, a first channel region formed in the substrate under the first film, and first epitaxial crystal layers formed on both sides of the first channel region in the substrate, the first layers comprising a first crystal; and a second transistor comprising a second gate electrode formed on the substrate via a second gate insulating film, a second channel region formed in the substrate under the second film, second epitaxial crystal layers formed on both sides of the second channel region in the substrate, and third epitaxial crystal layers formed on the second layers, the second layers comprising a second crystal, the third layers comprising the first crystal, the second transistor having a conductivity type different from that of the first transistor.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: August 21, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shintaro Okamoto
  • Patent number: 8247282
    Abstract: In sophisticated transistor elements, long-term threshold voltage shifts in transistors comprising a threshold adjusting semiconductor alloy may be reduced by reducing the roughness of an interface formed between the threshold adjusting semiconductor material and the gate dielectric material. To this end, a portion of the threshold adjusting semiconductor material may be oxidized and may be removed prior to forming the high-k dielectric material.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: August 21, 2012
    Assignee: GlobalFoundries, Inc.
    Inventors: Stephan Kronholz, Carsten Reichel, Annekathrin Zeun, Martin Trentzsch
  • Patent number: 8236634
    Abstract: Thin semiconductor regions and thick semiconductor regions are formed oven an insulator layer. Thick semiconductor regions include at least one semiconductor fin. A gate conductor layer is patterned to form disposable planar gate electrodes over ETSOI regions and disposable side gate electrodes on sidewalls of semiconductor fins. End portions of the semiconductor fins are vertically recessed to provide thinned fin portions adjacent to an unthinned fin center portion. After appropriate masking by dielectric layers, selective epitaxy is performed on planar source and drain regions of ETSOI field effect transistors (FETs) to form raised source and drain regions. Further, fin source and drain regions are grown on the thinned fin portions. Source and drain regions, fins, and the disposable gate electrodes are planarized. The disposable gate electrodes are replaced with metal gate electrodes. FinFETs and ETSOI FETs are provided on the same semiconductor substrate.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Narasimhulu Kanike, Kangguo Cheng, Ramachandra Divakaruni, Carl J. Radens
  • Patent number: 8236686
    Abstract: Methods of forming dual metal gates and the gates so formed are disclosed. A method may include forming a first metal (e.g., NMOS metal) layer on a gate dielectric layer and a second metal (e.g., PMOS metal) layer on the first metal layer, whereby the second metal layer alters a work function of the first metal layer (to form PMOS metal). The method may remove a portion of the second metal layer to expose the first metal layer in a first region; form a silicon layer on the exposed first metal layer in the first region and on the second metal layer in a second region; and form the dual metal gates in the first and second regions. Since the gate dielectric layer is continuously covered with the first metal, it is not exposed to the damage from the metal etch process.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Byoung H. Lee, Sang Ho Bae, Kisik Choi, Rino Choi, Craig Huffman, Prashant Majhi, Jong Hoan Sim, Seung-Chul Song, Zhibo Zhang
  • Patent number: 8236654
    Abstract: A threshold adjusting semiconductor material, such as a silicon/germanium alloy, may be provided selectively for one type of transistors on the basis of enhanced deposition uniformity. For this purpose, the semiconductor alloy may be deposited on the active regions of any transistors and may subsequently be patterned on the basis of a highly controllable patterning regime. Consequently, threshold variability may be reduced.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: August 7, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Stephan Kronholz, Andreas Ott
  • Patent number: 8236636
    Abstract: The present invention provides an improved amorphization/templated recrystallization (ATR) method for forming hybrid orientation substrates and semiconductor device structures. A direct-silicon-bonded (DSB) silicon layer having a (011) surface crystal orientation is bonded to a base silicon substrate having a (001) surface crystal orientation to form a DSB wafer in which the in-plane <110> direction of the (011) DSB layer is aligned with an in-plane <110> direction of the (001) base substrate. Selected regions of the DSB layer are amorphized down to the base substrate to form amorphized regions aligned with the mutually orthogonal in-plane <100> directions of the (001) base substrate, followed by recrystallization using the base substrate as a template.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Haizhou Yin, John A. Ott, Katherine L. Saenger, Chun-Yung Sung
  • Publication number: 20120196417
    Abstract: When forming sophisticated gate electrode structures, such as high-k metal gate electrode structures, an appropriate encapsulation may be achieved, while also undue material loss of a strain-inducing semiconductor material that is provided in one type of transistor may be avoided. To this end, the patterning of the protective spacer structure prior to depositing the strain-inducing semiconductor material may be achieved for each type of transistor on the basis of the same process flow, while, after the deposition of the strain-inducing semiconductor material, an etch stop layer may be provided so as to preserve integrity of the active regions.
    Type: Application
    Filed: January 25, 2012
    Publication date: August 2, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stephan Kronholz, Gunda Beernink, Markus Lenski, Frank Seliger, Frank Richter
  • Patent number: 8232167
    Abstract: A method of fabricating transistors on a semiconductor substrate includes forming transistor gates of first and second transistors located in first and second areas of the semiconductor substrate, respectively. The transistor gates have generally vertical sidewalls. Source and drain regions are simultaneously formed for the first and second transistors. Temporary spacers are formed on the vertical sidewalls of the first and second transistor gates. The temporary spacers of the first transistor abut a semiconductor structure such that the source and drain regions of the first transistor are vertically covered. The temporary spacers of the second transistor cover a portion of the source and drain regions of the second transistor such that a portion of the source and drain regions remain exposed. The semiconductor substrate is exposed to an implant dopant to change the dopant level of the exposed portions of the source and drain regions of the second transistors.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: July 31, 2012
    Assignee: Round Rock Research, LLC
    Inventors: Chin-Chen Cho, Er-Xuan Ping
  • Patent number: 8227314
    Abstract: A method of making a semiconductor device having an ESD protection element which can achieve compatibility between high drain-to-backgate withstand voltage and ESD protection of DMOSFET gates.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: July 24, 2012
    Assignee: Sony Corporation
    Inventor: Hideki Mori
  • Patent number: 8222111
    Abstract: A method for semiconductor fabrication. The method includes providing a silicon substrate and forming a tunnel oxide layer over the silicon substrate. Thereafter, a nitride layer is formed over the tunnel oxide layer. The nitride layer and the tunnel oxide layer are etched except where at least one nonvolatile silicon oxide nitride oxide silicon (SONOS) transistor is formed. Additionally, oxide layers are simultaneously formed over the nitride layer corresponding to where at bast one SONOS memory transistor is formed and over the exposed silicon substrate corresponding to where at least one metal oxide semiconductor (MOS) transistor is formed.
    Type: Grant
    Filed: May 18, 2010
    Date of Patent: July 17, 2012
    Assignee: Cypress Semiconductor Corporation
    Inventor: Jeong-Mo Hwang
  • Patent number: 8216902
    Abstract: Nanowire-based devices are provided. In one aspect, a SRAM cell includes at least one pair of pass gates and at least one pair of inverters formed adjacent to one another on a wafer. Each pass gate includes one or more device layers each having a source region, a drain region and a plurality of nanowire channels connecting the source region and the drain region and a gate common to each of the pass gate device layers surrounding the nanowire channels. Each inverter includes a plurality of device layers each having a source region, a drain region and a plurality of nanowire channels connecting the source region and the drain region and a gate common to each of the inverter device layers surrounding the nanowire channels.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: July 10, 2012
    Assignee: International Business Machines Corporation
    Inventors: Josephine Chang, Paul Chang, Michael A. Guillorn, Jeffrey Sleight
  • Patent number: 8217453
    Abstract: A three terminal bi-directional laterally diffused metal oxide semiconductor (LDMOS) transistor which includes two uni-directional LDMOS transistors in series sharing a common drain node, and configured such that source nodes of the uni-directional LDMOS transistors serve as source and drain terminals of the bi-directional LDMOS transistor. The source is shorted to the backgate of each LDMOS transistor. The gate node of each LDMOS transistor is clamped to its respective source node to prevent source-gate breakdown, and the gate terminal of the bi-directional LDMOS transistor is connected to the gate nodes of the constituent uni-directional LDMOS transistors through blocking diodes. The common drain is a deep n-well which isolates the two p-type backgate regions. The gate node clamp can be a pair of back-to-back zener diodes, or a pair of self biased MOS transistors connected source-to-source in series.
    Type: Grant
    Filed: August 7, 2009
    Date of Patent: July 10, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Sameer P. Pendharkar
  • Patent number: 8212322
    Abstract: Techniques for combining transistors having different threshold voltage requirements from one another are provided. In one aspect, a semiconductor device comprises a substrate having a first and a second nFET region, and a first and a second pFET region; a logic nFET on the substrate over the first nFET region; a logic pFET on the substrate over the first pFET region; a SRAM nFET on the substrate over the second nFET region; and a SRAM pFET on the substrate over the second pFET region, each comprising a gate stack having a metal layer over a high-K layer. The logic nFET gate stack further comprises a capping layer separating the metal layer from the high-K layer, wherein the capping layer is further configured to shift a threshold voltage of the logic nFET relative to a threshold voltage of one or more of the logic pFET, SRAM nFET and SRAM pFET.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: July 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Martin M. Frank, Arvind Kumar, Vijay Narayanan, Vamsi K. Paruchuri, Jeffrey Sleight
  • Patent number: 8211322
    Abstract: A method of patterning a metal layer includes forming a first mask on a surface of the metal layer, the first mask having an opening through the first mask that exposes the metal layer, and forming a nanogap in the exposed metal layer using an ion beam directed through the opening. The first mask limits a lateral extent of the ion beam, and the nanogap has a width that is less than a width of the opening.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: July 3, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Gun Park, Dong-Won Kim, Sung-Young Lee, Yang-Kyu Choi, Chang-Hoon Kim, Ju-Hyun Kim
  • Patent number: 8207031
    Abstract: Methods of forming, on a substrate, a first lateral high-voltage MOS transistor and a second lateral high-voltage MOS transistor complementary to said first one are disclosed. According to one embodiment, the method includes (1) providing a substrate of a first conductivity type including a first active region for said first lateral high-voltage MOS transistor and a second active region for said second lateral high-voltage MOS transistor and (2) forming at least one first doped region of the first conductivity type in the first active region and forming in the second active region a drain extension region of the second conductivity type extending from a substrate surface to an interior of the substrate, including a concurrent implantation of dopants through openings of one and the same mask into the first and second active regions.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: June 26, 2012
    Assignee: X-Fab Semiconductor Foundries AG
    Inventors: Christoph Ellmers, Thomas Uhlig, Felix Fuernhammer, Michael Stoisiek, Michael Gross
  • Patent number: 8207030
    Abstract: A method for producing one or more nMOSFET devices and one or more pMOSFET devices on the same semiconductor substrate is disclosed. In one aspect, the method relates to the use of a single activation anneal that serves for both Si nMOS and Ge pMOS. By use of a solid phase epitaxial regrowth (SPER) process for the Si nMOS, the thermal budget for the Si nMOS can be lowered to be compatible with Ge pMOS.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: June 26, 2012
    Assignee: IMEC
    Inventors: David Paul Brunco, Brice De Jaeger, Simone Severi
  • Publication number: 20120153388
    Abstract: A semiconductor device in which a reliable high voltage p-channel transistor is formed without an increase in cost and the number of manufacturing steps. The transistor includes: a semiconductor substrate having a main surface and a p-type region therein; a p-type well region located over the p-type region and in the main surface, having a first p-type impurity region to obtain a drain electrode; an n-type well region adjoining the p-type well region along the main surface and having a second p-type impurity region to obtain a source electrode; a gate electrode between the first and second p-type impurity regions along the main surface; and a p-type buried channel overlying the n-type well region and extending along the main surface. The border between the n-type and p-type well regions is nearer to the first p-type impurity region than the gate electrode end near to the first p-type impurity region.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 21, 2012
    Inventor: Hirokazu SAYAMA
  • Patent number: 8198163
    Abstract: A method of fabricating a semiconductor device including forming a plurality of gate structures on a semiconductor substrate, forming a plurality of impurity regions in the semiconductor substrate at sides of the gate structures, forming a dielectric layer on the semiconductor substrate having the gate structures, forming contact holes by etching the dielectric layer to expose parts of the impurity regions at sides of the gate structures, directly implanting impurity ions into the exposed parts of the impurity regions via the contact holes by using the gate structures as ion implanting masks, wherein the impurity ions prevent impurities doped in the impurity regions from diffusing to channel regions of the gate structures, and forming conductive plugs in the contact holes.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: June 12, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joo-sung Park, Se-keun Park
  • Patent number: 8198659
    Abstract: A semiconductor device and a method of manufacturing a semiconductor device. A method of manufacturing a semiconductor device may include forming a gate electrode over a semiconductor substrate, a second conductive type ion implantation region at opposite sides of a gate electrode, a second conductive type ion implantation region as a first conductive type second ion implantation region by implanting a first conductive type impurity over opposite sides of said gate electrode, and/or forming a first conductive type first ion implantation region that substantially surrounds a first conductive type second ion implantation region. A method of manufacturing a semiconductor device may form an N type MOSFET and/or a P type MOSFET using a single photolithography process for each N+ source/drain photolithography process and/or P+ source/drain photolithography process.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: June 12, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kyung-Wook Kwon
  • Patent number: 8193063
    Abstract: A method of manufacturing a semiconductor device may include, but is not limited to the following processes. First and second gate electrodes are formed over a semiconductor substrate. An epitaxial layer is selectively formed over the semiconductor substrate. The epitaxial layer is adjacent to the first gate electrode. A first impurity is introduced into the semiconductor substrate through the epitaxial layer to form a first impurity region and directly into the semiconductor substrate to form a second impurity region. The first and second impurity regions are adjacent to the first and second gate electrodes, respectively. The first impurity region includes the epitaxial layer. A first bottom surface of the first impurity region is shallower in level than a second bottom surface of the second impurity region.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: June 5, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Yoichi Fukushima
  • Patent number: 8193062
    Abstract: A memory cell having N transistors including at least one pair of access transistors, one pair of pull-down transistors, and one pair of pull-up transistors to form a memory cell, wherein N is an integer at least equal to six, wherein each of the access transistors and each of the pull-down transistors is a same one of an n-type or a p-type transistor, and each of the pull-up transistors is the other of an n-type or a p-type transistor, wherein at least one of the pair of the pull down transistors and the pair of the pull up transistors are asymmetric.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: June 5, 2012
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Jeffrey W. Sleight
  • Patent number: RE43521
    Abstract: A semiconductor device manufacturing method having forming first and second insulating gate portions spaced from each other on a semiconductor substrate, selectively implanting the first conductivity type impurity ions to the first gate electrode and a surface layer of the semiconductor substrate adjacent to the first insulating gate portion, selectively implanting the second conductivity type impurity ions to the second gate electrode and the surface layer adjacent to the second insulating gate portion, after implanting the first and second conductivity types impurity ions, pre-annealing at a first substrate temperature, and after the pre-annealing, main-activating for the first and second types impurity ions at a second substrate temperature higher than the first substrate temperature for a treatment period shorter than a period of the pre-annealing.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: July 17, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Ito, Kyoichi Suguro