Introducing A Dopant Into The Channel Region Of Selected Transistors Patents (Class 438/276)
-
Patent number: 8580637Abstract: A pattern on a semiconductor substrate is formed using two separate etching processes. The first etching process removes a portion of an intermediate layer above an active region of the substrate. The second etching process exposes a portion of the active region of the substrate. A semiconductor device formed using the patterning method has a decreased mask error enhancement factor and increased critical dimension uniformity than the prior art.Type: GrantFiled: December 16, 2011Date of Patent: November 12, 2013Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Jhun Hua Chen, Yu-Lung Tung, Chi-Tien Chen, Hua-Tai Lin, Hsiang-Lin Chen, Hung Chang Hsieh, Yi-Fan Chen
-
Patent number: 8580632Abstract: To provide a semiconductor device and a method of manufacturing the same capable of suppressing, when a plurality of MIS transistors having different absolute values of threshold voltage is used, the reduction of the drive current of a MIS transistor having a greater absolute value of threshold voltage. The threshold voltage of a second nMIS transistor is greater than the threshold voltage of a first nMIS transistor and the sum of the concentration of lanthanum atom and the concentration of magnesium atom in a second nMIS high-k film included in the second nMIS transistor is lower than the sum of the concentration of lanthanum atom and the concentration of magnesium atom in a first nMIS high-k film included in the first nMIS transistor.Type: GrantFiled: January 25, 2013Date of Patent: November 12, 2013Assignee: Renesas Electronics CorporationInventors: Kazuhiro Onishi, Kazuhiro Tsukamoto
-
Patent number: 8574976Abstract: A TFT having a high threshold voltage is connected to the source electrode of each TFT that constitutes a CMOS circuit. In another aspect, pixel thin-film transistors are constructed such that a thin-film transistor more distant from a gate line drive circuit has a lower threshold voltage. In a further aspect, a control film that is removable in a later step is formed on the surface of the channel forming region of a TFT, and doping is performed from above the control film.Type: GrantFiled: October 14, 2010Date of Patent: November 5, 2013Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Shunpei Yamazaki, Naoto Kusumoto, Hideto Ohnuma, Koichiro Tanaka
-
Patent number: 8574977Abstract: The present disclosure provides a method for manufacturing a gate stack structure and adjusting a gate work function for a PMOS device, comprising: growing an ultra-thin interface oxide layer or oxynitride layer on a semiconductor substrate by rapid thermal oxidation or chemical method after conventional LOCOS or STI dielectric isolation is completed; depositing high-K gate dielectric and performing rapid thermal annealing; depositing a composite metal gate; depositing a barrier metal layer; depositing a polysilicon film and a hard mask and then performing photolithography and etching the hard mask; removing photoresist and etching the polysilicon film, the barrier metal layer, the metal gate, the high-K gate dielectric, and the interface oxide layer in sequence to form a gate stack structure of polysilicon film/barrier metal layer/metal gate/high-K gate dielectric; forming spacers, source/drain implantation in a conventional manner and performing rapid thermal annealing, whereby while source/drain dopants arType: GrantFiled: November 21, 2011Date of Patent: November 5, 2013Assignee: The Institute of Microelectronics Chinese Academy of ScienceInventors: Qiuxia Xu, Yongliang Li
-
Patent number: 8536009Abstract: In sophisticated semiconductor devices, high-k metal gate electrode structures may be provided in an early manufacturing stage wherein the threshold voltage adjustment for P-channel transistors may be accomplished on the basis of a threshold voltage adjusting semiconductor alloy, such as a silicon/germanium alloy, for long channel devices, while short channel devices may be masked during the selective epitaxial growth of the silicon/germanium alloy. In some illustrative embodiments, the threshold voltage adjustment may be accomplished without any halo implantation processes for the P-channel transistors, while the threshold voltage may be tuned by halo implantations for the N-channel transistors.Type: GrantFiled: August 3, 2011Date of Patent: September 17, 2013Assignee: GLOBALFOUNDRIES Inc.Inventors: Peter Javorka, Maciej Wiatr, Stephan-Detlef Kronholz
-
Patent number: 8470645Abstract: A method for forming a memory cell including a selection transistor and an antifuse transistor, in a technological process adapted to the manufacturing of a first and of a second types of MOS transistors of different gate thicknesses, this method including the steps of: forming the selection transistor according to the steps of manufacturing of the N-channel transistor of the second type; and forming the antifuse transistor essentially according the steps of manufacturing of the N-channel transistor of the first type, by modifying the following step: instead of performing a P-type implantation in the channel region at the same time as in the N-channel transistors of the first type, performing an N-type implantation in the channel region at the same time as in the P-channel transistors of the first type.Type: GrantFiled: March 2, 2011Date of Patent: June 25, 2013Assignee: STMicroelectronics SAInventors: Philippe Candelier, Elise Le Roux
-
Publication number: 20130113042Abstract: A multi-gate semiconductor device and method for forming the same. A multi-gate semiconductor device is formed including a first fin of a first transistor formed on a semiconductor substrate having a first dopant type. The first transistor has a doped channel region of the first dopant type. The device also includes a second fin of a second transistor formed on the first dopant type semiconductor substrate. The second transistor has a doped channel region of a second dopant type. The device further includes a gate electrode layer of the second dopant type formed over the channel region of the first fin and a gate electrode layer of the first dopant type formed over the channel region of the second fin.Type: ApplicationFiled: November 3, 2011Publication date: May 9, 2013Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chih-Ching Wang, Jon-Hsu Ho, Ching-Fang Huang, Wen-Hsing Hsieh, Tsung-Hsing Yu, Yi-Ming Sheu, Ken-Ichi Goto, Zhiqiang Wu
-
Patent number: 8421163Abstract: A power module comprises: first and second terminals; first and second switching elements having a first electrode and a second electrode which is connected to the second terminal; first and second wirings respectively connecting the first electrodes of the first and second switching elements to the first terminal; and a third wiring directly connecting the first electrode of the first switching element to the first electrode of the second switching element, wherein parasitic inductances of the first and second wiring are different or switching characteristics of the first and second switching elements are different.Type: GrantFiled: April 29, 2011Date of Patent: April 16, 2013Assignee: Mitsubishi Electric CorporationInventor: Kazuaki Hiyama
-
Patent number: 8415215Abstract: A method of manufacturing a semiconductor device includes: forming first to third gate electrodes in first to third regions, respectively; forming a first mask pattern covering the second region while exposing the first and third regions; forming p-type source drain extensions and p-type pocket regions by ion implantation using the first mask pattern as a mask; forming n-type source drain extensions by ion implantation using the first mask pattern as a mask; forming a second mask pattern covering the first and third regions while exposing the second region; and forming p-type pocket regions by implanting ions of indium into the silicon substrate with the second mask pattern being used as a mask.Type: GrantFiled: May 19, 2011Date of Patent: April 9, 2013Assignee: Fujitsu Semiconductor LimitedInventors: Junichi Ariyoshi, Taiji Ema
-
Patent number: 8389300Abstract: A method of controlling ferroelectric characteristics of integrated circuit device components includes forming a ferroelectrically controllable dielectric layer over a substrate; and forming a stress exerting structure proximate the ferroelectrically controllable dielectric layer such that a substantially uniaxial strain is induced in the ferroelectrically controllable dielectric layer by the stress exerting structure; wherein the ferroelectrically controllable dielectric layer comprises one or more of: a ferroelectric oxide layer and a normally non-ferroelectric material layer that does not exhibit ferroelectric properties in the absence of an applied stress.Type: GrantFiled: April 2, 2010Date of Patent: March 5, 2013Assignees: Centre National de la Recherche Scientifique, International Business Machines CorporationInventors: Catherine A. Dubourdieu, Martin M. Frank
-
Patent number: 8384160Abstract: To provide a semiconductor device and a method of manufacturing the same capable of suppressing, when a plurality of MIS transistors having different absolute values of threshold voltage is used, the reduction of the drive current of a MIS transistor having a greater absolute value of threshold voltage. The threshold voltage of a second nMIS transistor is greater than the threshold voltage of a first nMIS transistor and the sum of the concentration of lanthanum atom and the concentration of magnesium atom in a second nMIS high-k film included in the second nMIS transistor is lower than the sum of the concentration of lanthanum atom and the concentration of magnesium atom in a first nMIS high-k film included in the first nMIS transistor.Type: GrantFiled: November 30, 2009Date of Patent: February 26, 2013Assignee: Renesas Electronics CorporationInventors: Kazuhiro Onishi, Kazuhiro Tsukamoto
-
Patent number: 8377773Abstract: Generally, the present disclosure is directed to methods for adjusting transistor characteristics by forming a semiconductor alloy in the channel region of the transistor during early device processing. One disclosed method includes forming an isolation structure in a semiconductor layer of a semiconductor device and in a threshold voltage adjusting semiconductor alloy formed on the semiconductor layer, the isolation structure laterally separating a first active region and a second active region.Type: GrantFiled: October 31, 2011Date of Patent: February 19, 2013Assignee: GLOBALFOUNDRIES Inc.Inventors: Thilo Scheiper, Peter Baars
-
Patent number: 8377772Abstract: Various embodiments provide methods for fabricating dual supply voltage CMOS devices with a desired I/O transistor threshold voltage. The dual supply voltage CMOS devices can be fabricated in a semiconductor substrate that includes isolated regions for a logic NMOS transistor, a logic PMOS transistor, an I/O NMOS transistor, and an I/O PMOS transistor. Specifically, the fabrication can first set and/or adjust the threshold voltage (VT) of each of the I/O NMOS transistor and the I/O PMOS transistor to a desired level. Logic NMOS and logic PMOS transistors can then be formed with I/O NMOS and I/O PMOS transistors masked without affecting the set/adjusted VT of the I/O transistors.Type: GrantFiled: August 17, 2010Date of Patent: February 19, 2013Assignee: Texas Instruments IncorporatedInventors: Weize Xiong, Greg Charles Baldwin
-
Publication number: 20130007678Abstract: An exemplary integrated circuit module includes a first transistor and a second transistor. The first transistor has a first channel length and a first threshold voltage. The second transistor is electrically coupled to the first transistor and has a second channel length and a second threshold voltage. The second channel length is greater than the first channel length, the absolute value of the second threshold voltage is smaller than the absolute value of the first threshold voltage, and the first transistor and the second transistor have a same threshold voltage implant concentration. Moreover, a manufacturing method of such integrated circuit module, and an application of such integrated circuit module to computer aided design of logic circuit also are provided.Type: ApplicationFiled: July 1, 2011Publication date: January 3, 2013Applicant: UNITED MICROELECTRONICS CORP.Inventors: Shu-Yi YANG, Chen-Hsien Hsu, Jinn-Shyan Wang
-
Patent number: 8343838Abstract: A structure and method of fabricating a semiconductor field-effect transistor (MOSFET) such as a strained Si n-MOSFET where dislocation or crystal defects spanning from source to drain is partially occupied by heavy p-type dopants. Preferably, the strained-layer n-MOSFET includes a Si, SiGe or SiGeC multi-layer structure having, in the region between source and drain, impurity atoms that preferentially occupy the dislocation sites so as to prevent shorting of source and drain via dopant diffusion along the dislocation. Advantageously, devices formed as a result of the invention are immune to dislocation-related failures, and therefore are more robust to processing and material variations. The invention thus relaxes the requirement for reducing the threading dislocation density in SiGe buffers, since the devices will be operable despite the presence of a finite number of dislocations.Type: GrantFiled: August 11, 2009Date of Patent: January 1, 2013Assignee: International Business Machines CorporationInventor: Steven J. Koester
-
Publication number: 20120273880Abstract: An IGFET (40 or 42) has a channel zone (64 or 84) situated in body material (50). Short-channel threshold voltage roll-off and punchthrough are alleviated by arranging for the net dopant concentration in the channel zone to longitudinally reach a local surface minimum at a location between the IGFET's source/drain zones (60 and 62 or 80 and 82) and by arranging for the net dopant concentration in the body material to reach a local subsurface maximum more than 0.1 ?m deep into the body material but not more than 0.1 ?m deep into the body material. The source/drain zones (140 and 142 or 160 and 162) of a p-channel IGFET (120 or 122) are provided with graded-junction characteristics to reduce junction capacitance, thereby increasing switching speed.Type: ApplicationFiled: October 26, 2010Publication date: November 1, 2012Inventors: Chih Sieh Teng, Constantin Bulucea, Chin-Miin Shyu, Fu-Cheng Wang, Prasad Chaparala
-
Patent number: 8298895Abstract: In a replacement metal gate process flow, sacrificial gates are exposed and removed subsequent to the formation of source and drain regions for various transistor devices. Sidewalls formed adjacent to the sacrificial gates remain. By using an angled implant such that, for a short-channel device, the remaining sidewalls shadow and protect the exposed short-channel region, a designer may adjust the threshold voltage on long-channel devices without affecting the threshold voltage of the short-channel device.Type: GrantFiled: October 31, 2011Date of Patent: October 30, 2012Assignee: International Business Machines CorporationInventor: Emre Alptekin
-
Patent number: 8283231Abstract: A method and circuit in which the drive strength of a FinFET transistor can be selectively modified, and in particular can be selectively reduced, by omitting the LDD extension formation in the source and/or in the drain of the FinFET. One application of this approach is to enable differentiation of the drive strengths of transistors in an integrated circuit by applying the technique to some, but not all, of the transistors in the integrated circuit. In particular in a SRAM cell formed from FinFET transistors the application of the technique to the pass-gate transistors, which leads to a reduction of the drive strength of the pass-gate transistors relative to the drive strength of the pull-up and pull-down transistors, results in improved SRAM cell performance.Type: GrantFiled: June 11, 2009Date of Patent: October 9, 2012Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Thomas Merelle, Gerben Doornbos, Robert James Pascoe Lander
-
Patent number: 8278173Abstract: A method includes: forming first and second projections; forming a first structure engaging the first projection, and including: a non-metallic conductive layer, and a first opening over the conductive layer; forming a second structure engaging the second projection, and including: a second opening; and conformally depositing a pure metal in the first and second openings. A different aspect involves an apparatus including: a first device that includes a first projection and a first gate structure, the first projection extending from a substrate, and the first gate structure engaging the first projection, and including an opening, and a conformal, pure metal disposed in the opening; and a second device that includes a second projection and a second gate structure, the second projection extending from the substrate, and the second gate structure engaging the second projection, and including a silicide including a metal that is the same metal disposed in the opening.Type: GrantFiled: June 30, 2010Date of Patent: October 2, 2012Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Peng-Soon Lim, Chia-Pin Lin, Kuang-Yuan Hsu
-
Patent number: 8268689Abstract: A method for fabricating a field effect transistor device includes forming a first conducting channel and a second conducting channel, forming a first gate stack on the first conducting channel to partially define a first device, forming second gate stack on the second conducting channel to partially define a second device, implanting ions to form a source region and a drain region connected to the first conducting channel and the second conducting channel, forming a masking layer over second device, a portion of the source region and a portion of the drain region, performing a first annealing process operative to change a threshold voltage of the first device, removing a portion of the masking layer to expose the second device, and performing a second annealing process operative to change the threshold voltage of the first device and a threshold voltage of the second device.Type: GrantFiled: August 23, 2010Date of Patent: September 18, 2012Assignee: International Business Machines CorporationInventors: Dechao Guo, Keith Kwong Hon Wong
-
Patent number: 8263461Abstract: Disclosed are lateral double diffused metal oxide semiconductor (LDMOS) transistors having a uniform threshold voltage and methods for manufacturing the same. The methods include forming a polysilicon layer over the semiconductor substrate including a shallow trench isolation region, etching a portion of the polysilicon layer over an active region, implanting first conductive-type impurity ions using the polysilicon layer as a mask to form a first conductive-type body region, implanting second conductive-type impurity ions using the polysilicon layer as a mask to form a second conductive-type channel region in the first conductive-type body region, removing the polysilicon layer, forming gate electrodes in the polysilicon-free region, and forming a source region and a drain region in the first conductive-type body region using the gate electrode and the shallow trench isolation as ion-implantation masks.Type: GrantFiled: December 21, 2009Date of Patent: September 11, 2012Assignee: Dongbu HiTek Co., Ltd.Inventor: Mi Young Kim
-
Patent number: 8252649Abstract: Methods of fabricating semiconductor devices and structures thereof are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes forming a gate material stack over a workpiece having a first region and a second region. A composition or a thickness of at least one of a plurality of material layers of the gate material stack is altered in at least the second region. The gate material stack is patterned, forming a first transistor in the first region and forming a second transistor in the second region. Altering the composition or the thickness of the at least one of the plurality of material layers of the gate material stack in at least the second region results in a first transistor having a first threshold voltage and a second transistor having a second threshold voltage, the second threshold voltage having a different magnitude than the first threshold voltage.Type: GrantFiled: December 22, 2008Date of Patent: August 28, 2012Assignee: Infineon Technologies AGInventors: Knut Stahrenberg, Jin-Ping Han
-
Publication number: 20120190159Abstract: A memory cell having N transistors including at least one pair of access transistors, one pair of pull-down transistors, and one pair of pull-up transistors to form a memory cell, wherein N is an integer at least equal to six, wherein each of the access transistors and each of the pull-down transistors is a same one of an n-type or a p-type transistor, and each of the pull-up transistors is the other of an n-type or a p-type transistor, wherein at least one of the pair of the pull down transistors and the pair of the pull up transistors are asymmetric.Type: ApplicationFiled: March 26, 2012Publication date: July 26, 2012Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Leland Chang, Jeffrey W. Sleight
-
Patent number: 8212329Abstract: A short channel Lateral MOSFET (LMOS) and method are disclosed with interpenetrating drain-body protrusions (IDBP) for reducing channel-on resistance while maintaining high punch-through voltage. The LMOS includes lower device bulk layer; upper source and upper drain region both located atop lower device bulk layer; both upper source and upper drain region are in contact with an intervening upper body region atop lower device bulk layer; both upper drain and upper body region are shaped to form a drain-body interface; the drain-body interface has an IDBP structure with a surface drain protrusion lying atop a buried body protrusion while revealing a top body surface area of the upper body region; gate oxide-gate electrode bi-layer disposed atop the upper body region forming an LMOS with a short channel length defined by the horizontal length of the top body surface area delineated between the upper source region and the upper drain region.Type: GrantFiled: November 6, 2010Date of Patent: July 3, 2012Assignee: Alpha and Omega Semiconductor Inc.Inventors: Shekar Mallikarjunaswamy, Amit Paul
-
Patent number: 8211769Abstract: A method for fabricating a semiconductor device includes forming a plurality of active regions that are separated from each other by a plurality of trenches, respectively, wherein the trenches are formed by etching a substrate, forming an insulation layer having openings that each expose a portion of a first sidewall of each active region, forming a filling layer which fills the openings, forming a diffusion control layer over a substrate structure including the filling layer, and forming a junction on a portion of the first sidewall of each active region.Type: GrantFiled: February 11, 2011Date of Patent: July 3, 2012Assignee: Hynix Semiconductor Inc.Inventor: Bo-Mi Lee
-
Publication number: 20120164805Abstract: When forming sophisticated high-k metal gate electrode structures, a threshold adjusting semiconductor alloy may be formed on the basis of selective epitaxial growth techniques and a hard mask comprising at least two hard mask layers. The hard mask may be patterned on the basis of a plasma-based etch process, thereby providing superior uniformity during the further processing upon depositing the threshold adjusting semiconductor material. In some illustrative embodiments, one hard mask layer is removed prior to actually selectively depositing the threshold adjusting semiconductor material.Type: ApplicationFiled: September 21, 2011Publication date: June 28, 2012Applicant: GLOBALFOUNDRIES Inc.Inventors: Stephan-Detlef Kronholz, Gunda Beernink, Carsten Reichel
-
Patent number: 8207030Abstract: A method for producing one or more nMOSFET devices and one or more pMOSFET devices on the same semiconductor substrate is disclosed. In one aspect, the method relates to the use of a single activation anneal that serves for both Si nMOS and Ge pMOS. By use of a solid phase epitaxial regrowth (SPER) process for the Si nMOS, the thermal budget for the Si nMOS can be lowered to be compatible with Ge pMOS.Type: GrantFiled: April 28, 2009Date of Patent: June 26, 2012Assignee: IMECInventors: David Paul Brunco, Brice De Jaeger, Simone Severi
-
Publication number: 20120153401Abstract: In sophisticated semiconductor devices, high-k metal gate electrode structures may be provided in an early manufacturing stage wherein the threshold voltage adjustment for P-channel transistors may be accomplished on the basis of a threshold voltage adjusting semiconductor alloy, such as a silicon/germanium alloy, for long channel devices, while short channel devices may be masked during the selective epitaxial growth of the silicon/germanium alloy. In some illustrative embodiments, the threshold voltage adjustment may be accomplished without any halo implantation processes for the P-channel transistors, while the threshold voltage may be tuned by halo implantations for the N-channel transistors.Type: ApplicationFiled: August 3, 2011Publication date: June 21, 2012Applicant: GLOBALFOUNDRIES INC.Inventors: Peter JAVORKA, Maciej WIATR, Stephan-Detlef KRONHOLZ
-
Patent number: 8193060Abstract: Provided is a method for manufacturing a semiconductor device. A well region formed on a semiconductor substrate includes a plurality of trench regions, and a source electrode is connected to a source region formed on a substrate surface between the trench regions. Adjacently to the source region, a high concentration region is formed, which is brought into butting contact with the source electrode together with the source region, whereby a substrate potential is fixed. A drain region is formed at a bottom portion of the trench region, whose potential is taken to the substrate surface by a drain electrode buried inside the trench region. An arbitrary voltage is applied to a gate electrode, and the drain electrode, whereby carriers flow from the source region to the drain region and the semiconductor device is in an on-state.Type: GrantFiled: November 18, 2010Date of Patent: June 5, 2012Assignee: Seiko Instruments Inc.Inventor: Tomomitsu Risaki
-
Patent number: 8178410Abstract: A method for forming a power device includes the following steps. An epitaxial layer is formed on a substrate. A pad layer and hard mask are formed on the epitaxial layer. A trench is etched into the hard mask, the pad layer, and the epitaxial layer. The hard mask is removed. A buffer layer is formed on the sidewall of the trench. The trench is then filled with a dopant source layer comprising plural dopants. A drive-in process is performed to diffuse the dopants into the epitaxial layer through the buffer layer, thereby forming a diffusion region around the trench.Type: GrantFiled: June 28, 2011Date of Patent: May 15, 2012Assignee: Anpec Electronics CorporationInventors: Yung-Fa Lin, Shou-Yi Hsu, Meng-Wei Wu, Main-Gwo Chen, Jing-Qing Chan, Yi-Chun Shih
-
Patent number: 8133787Abstract: A SiC semiconductor device having a MOS structure includes: a SiC substrate; a channel region providing a current path; first and second impurity regions on upstream and downstream sides of the current path, respectively; and a gate on the channel region through the gate insulating film. The channel region for flowing current between the first and second impurity regions is controlled by a voltage applied to the gate. An interface between the channel region and the gate insulating film has a hydrogen concentration equal to or greater than 4.7Ć1020 cm?3. The interface provides a channel surface having a (000-1)-orientation surface.Type: GrantFiled: February 26, 2008Date of Patent: March 13, 2012Assignee: Denso CorporationInventor: Takeshi Endo
-
Patent number: 8133784Abstract: A method of fabricating a non-volatile memory device according to an example embodiment may include etching a plurality of sacrificial films and insulation films to form a plurality of first openings that expose a plurality of first portions of a semiconductor substrate. A plurality of channel layers may be formed in the plurality of first openings so as to coat the plurality of first portions of the semiconductor substrate and side surfaces of the plurality of first openings. A plurality of insulation pillars may be formed on the plurality of channel layers so as to fill the plurality of first openings. The plurality of sacrificial films and insulation films may be further etched to form a plurality of second openings that expose a plurality of second portions of the semiconductor substrate. A plurality of side openings may be formed by removing the plurality of sacrificial films. A plurality of gate dielectric films may be formed on surfaces of the plurality of side openings.Type: GrantFiled: October 19, 2009Date of Patent: March 13, 2012Assignee: Samsung Electronics Co., Ltd.Inventors: Dew-ill Chung, Han-soo Kim, Jae-hun Jeong, Jin-soo Lim, Ki-hyun Kim, Ju-young Lim
-
Patent number: 8114743Abstract: An integrated circuit device with a semiconductor body and a method for the production of a semiconductor device a provided. The semiconductor body comprises a cell field with a drift zone of a first conduction type. In addition, the semiconductor device comprises an edge region surrounding the cell field. Field plates with a trench gate structure are arranged in the cell field, and an edge trench surrounding the cell field is provided in the edge region. The front side of the semiconductor body is in the edge region provided with an edge zone of a conduction type complementing the first conduction type with doping materials of body zones of the cell field. The edge zone of the complementary conduction type extends both within and outside the edge trench.Type: GrantFiled: December 7, 2010Date of Patent: February 14, 2012Assignee: Infineon Technologies Austria AGInventors: Uli Hiller, Oliver Blank, Ralf Siemieniec, Maximilian Roesch
-
Patent number: 8084328Abstract: A semiconductor device includes a semiconductor substrate, wherein the semiconductor substrate includes a core area for core circuits and a peripheral area for peripheral circuits. The semiconductor device includes a core oxide on the semiconductor substrate in the core area, a portion of the core oxide being nitrided, a first polysilicon pattern on the core oxide, an I/O oxide including pure oxide on the semiconductor substrate in the peripheral area, and a second polysilicon pattern on the I/O oxide.Type: GrantFiled: October 13, 2010Date of Patent: December 27, 2011Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Zhen-Cheng Wu, Yung-Cheng Lu, Pi-Tsung Chen, Ying-Tsung Chen
-
Publication number: 20110309440Abstract: An integrated circuit containing an extended drain MOS transistor may be formed by forming a drift region implant mask with mask fingers abutting a channel region and extending to the source/channel active area, but not extending to a drain contact active area. Dopants implanted through the exposed fingers form lateral doping striations in the substrate under the mask fingers. An average doping density of the drift region under the gate is at least 25 percent less than an average doping density of the drift region at the drain contact active area. In one embodiment, the dopants diffuse laterally to form a continuous drift region. In another embodiment, substrate material between lateral doping striations remains an opposite conductivity type from the lateral doping striations.Type: ApplicationFiled: June 15, 2011Publication date: December 22, 2011Applicant: TEXAS INSTRUMENTS INCORPORATEDInventors: Pinghai Hao, Sameer Pendharkar, Binghua Hu, Qingfeng Wang
-
Patent number: 8071445Abstract: In a transistor region, a source interconnect layer and a gate electrode are buried in trenches. A source extending region is provided adjacent to the transistor region or in the transistor region, and a source interconnect layer is designed to protrude from the upper end of a trench. This source interconnect layer is connected to a source electrode formed in the transistor region immediately above the trench. A gate extending region is provided outside the source extending region, and the gate electrode and a gate interconnect layer are connected. The gate electrode is formed by performing etchback without forming a resist pattern, after a polysilicon film is formed. Here, the polysilicon film remains like a side-wall on the sidewall of the portion of the source interconnect layer protruding from the upper end of the trench.Type: GrantFiled: April 20, 2010Date of Patent: December 6, 2011Assignee: Renesas Electronics CorporationInventor: Kei Takehara
-
Patent number: 8071451Abstract: A method of doping a semiconductor body is provided herein. In one embodiment, a semiconductor body is exposed to an activated hydrogen gas for a predetermined time period and temperature. The activated hydrogen gas that is configured to react with a surface of a semiconductor body. The activated hydrogen gas breaks existing bonds in the substrate (e.g., silicon-silicon bonds), thereby forming a reactive layer comprising weakened (e.g., silicon-hydrogen (SiāH) bonds, silanol (SiāOH) bonds) and/or dangling bonds (e.g., dangling silicon bonds). The dangling bonds, in addition to the easily broken weakened bonds, comprise reactive sites that extend into one or more surfaces of the semiconductor body. A reactant (e.g., n-type dopant, p-type dopant) may then be introduced to contact the reactive layer of the semiconductor body. The reactant chemically bonds to reactive sites comprised within the reactive layer, thereby resulting in a doped layer within the semiconductor body comprising the reactant.Type: GrantFiled: July 29, 2009Date of Patent: December 6, 2011Assignee: Axcelis Technologies, Inc.Inventor: Ivan L. Berry
-
Patent number: 8048747Abstract: The present disclosure fabricates an embedded metal-oxide-nitride-oxide-silicon (MONOS) memory device. The memory device is stacked with memory layers having a low aspect ratio. The memory device can be easily fabricated with only two extra masks for saving cost. The present disclosure uses a general method for mass-producing TFT and is thus fit for fabricating NAND-type or NOR-type flash memory to be used as embedded memory in a system-on-chip.Type: GrantFiled: November 2, 2010Date of Patent: November 1, 2011Assignee: National Applied Research LaboratoriesInventors: Min-Cheng Chen, Hou-Yu Chen, Chia-Yi Lin
-
Publication number: 20110221000Abstract: A method for manufacturing a semiconductor device includes forming a first gate electrode on a semiconductor substrate in a first transistor region; forming a channel dose region; and forming a first source extension region, wherein the channel dose region is formed by using a first mask as a mask and by ion-implanting a first dopant of the first conductivity type, and the first mask covering a drain side of the first gate electrode and covering a drain region, and the first source extension region is formed by using a second mask and the gate electrode as masks and by ion-implanting a second dopant of a second conductivity type that is a conductivity type opposite to the first conductivity type, the second mask covering the drain side of the first gate electrode and covering the drain region.Type: ApplicationFiled: September 14, 2010Publication date: September 15, 2011Applicant: FUJITSU SEMICONDUCTOR LIMITEDInventor: Masashi SHIMA
-
Patent number: 8013381Abstract: A semiconductor device has a semiconductor substrate of a first conductivity type; first to third high-voltage insulated-gate field effect transistors formed on a principal surface of the semiconductor substrate; a first device isolation insulating film that is formed in the semiconductor substrate and isolates the first high-voltage insulated-gate field effect transistor and the second high-voltage insulated-gate field effect transistor from each other; a second device isolation insulating film that is formed in the semiconductor substrate and isolates the first high-voltage insulated-gate field effect transistor and the third high-voltage insulated-gate field effect transistor from each other; a first impurity diffusion layer of the first conductivity type that is formed below the first device isolation insulating film; and a second impurity diffusion layer of the first conductivity type that is formed below the second device isolation insulating film.Type: GrantFiled: January 28, 2009Date of Patent: September 6, 2011Assignee: Kabushiki Kaisha ToshibaInventors: Norio Magome, Toshifumi Minami, Tomoaki Hatano, Norihisa Arai
-
Patent number: 8008158Abstract: A method of forming a dopant implant region in a MOS transistor device having a dopant profile having a target dopant concentration includes implanting a first concentration of dopants into a region of a substrate, where the first concentration of dopants is less than the target dopant concentration, and without annealing the substrate after the implanting step, performing at least one second implanting step to implant at least one second concentration of dopants into the region of the substrate to bring the dopant concentration in the region to the target dopant concentration.Type: GrantFiled: July 10, 2008Date of Patent: August 30, 2011Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Tse-En Chang, Chih-Fu Chang, Bone-Fong Wu, Chieh Chih Ting, Shao Hua Wang, Pu-Fang Chen, Yen Chuang
-
Patent number: 7994012Abstract: To improve characteristics of a semiconductor device having a nonvolatile memory. There is provided a semiconductor device having a nonvolatile memory cell that performs memory operations by transferring a charge to/from a charge storage film, wherein the nonvolatile memory cell includes a p well formed in a principal plane of a silicon substrate, and a memory gate electrode formed over the principal plane across the charge storage film, and wherein a memory channel region located beneath the charge storage film of the principal plane of the silicon substrate contains fluorine.Type: GrantFiled: July 1, 2009Date of Patent: August 9, 2011Assignee: Renesas Electronics CorporationInventor: Kazuyoshi Shiba
-
Publication number: 20110186937Abstract: A self-aligned well implantation process may be performed so as to adjust threshold voltage and/or body resistance of transistors. To this end, after removing a placeholder material of gate electrode structures, the implantation process may be performed on the basis of appropriate process parameters to obtain the desired transistor characteristics. Thereafter, any appropriate electrode metal may be filled in, thereby providing gate electrode structures having superior performance. For example, high-k metal gate electrode structures may be formed on the basis of a replacement gate approach, while the additional late well implantation may provide a high degree of flexibility in providing different transistor versions of the same basic configuration.Type: ApplicationFiled: October 28, 2010Publication date: August 4, 2011Inventors: Thilo Scheiper, Sven Beyer, Jan Hoentschel, Andy Wei
-
Patent number: 7989284Abstract: A method for forming a memory device. The method provides a protective layer overlying a surface region of a substrate before threshold voltage implant. The method then includes depositing a photo resist layer and patterning the photo resist by selectively removing a portion of the photo resist to expose the protective layer overlying a first region while maintaining the photo resist overlying a second region. The method includes implanting impurities for threshold voltage adjustment into the first region while the second region is substantially free of the impurities for threshold voltage adjustment. The method also includes forming a source region and a drain region. The method further includes providing a conductive structure over the source region. A junction between the conductive structure and the source region is substantially within the second region. The method then provides a storage capacitor in electrical contact with the source region via the conductive structure.Type: GrantFiled: September 26, 2008Date of Patent: August 2, 2011Assignee: Semiconductor Manufacturing International (Shanghai) CorporationInventor: JoBong Choi
-
Patent number: 7982274Abstract: A device comprising a doped semiconductor nano-component and a method of forming the device are disclosed. The nano-component is one of a nanotube, nanowire or a nanocrystal film, which may be doped by exposure to an organic amine-containing dopant. Illustrative examples are given for field effect transistors with channels comprising a lead selenide nanowire or nanocrystal film and methods of forming these devices.Type: GrantFiled: May 30, 2008Date of Patent: July 19, 2011Assignee: International Business Machines CorporationInventors: Ali Afzali-Ardakani, Cherie R. Kagan, Christopher B. Murray, Robert L. Sandstrom, Dmitri V. Talapin
-
Patent number: 7977715Abstract: An LDMOS device includes a substrate of a first conductivity type, an epitaxial layer on the substrate, a buried well of a second conductivity type opposite to the first conductivity type in a lower portion of the epitaxial layer, the epitaxial layer being of the first conductivity type below the buried layer. The device further includes a field oxide located between a drain and both a gate on a gate oxide and a source with a saddle shaped vertical doping gradient of the second conductivity type in the epitaxial layer above the buried well such that the dopant concentration in the epitaxial layer above the buried well and below a central portion of the field oxide is lower than the dopant concentration at the edges of the field oxide nearest the drain and nearest the gate.Type: GrantFiled: March 17, 2008Date of Patent: July 12, 2011Assignee: Fairchild Semiconductor CorporationInventor: Jun Cai
-
Patent number: 7968412Abstract: According to an embodiment of a method for manufacturing a MISFET device, in a semiconductor wafer, a semiconductor layer is formed, having a first type of conductivity and a first level of doping. A first body region and a second body region, having a second type of conductivity, opposite to the first type of conductivity, and an enriched region, extending between the first and second body regions are formed in the semiconductor layer. The enriched region has the first type of conductivity and a second level of doping, higher than the first level of doping. Moreover, a gate electrode is formed over the enriched region and over part of the first and second body regions, and a dielectric gate structure is formed between the gate electrode and the semiconductor layer, the dielectric gate structure having a larger thickness on the enriched region and a smaller thickness on the first and second body regions.Type: GrantFiled: March 11, 2010Date of Patent: June 28, 2011Assignee: STMicroelectronics, S.r.l.Inventors: Orazio Battiato, Domenico Repici, Fabrizio Marco Di Paola, Giuseppe Arena, Angelo Magriā²
-
Patent number: 7955931Abstract: A method of fabricating a nanotube field-effect transistor having unipolar characteristics and a small inverse sub-threshold slope includes forming a local gate electrode beneath the nanotube between drain and source electrodes of the transistor and doping portions of the nanotube. In a further embodiment, the method includes forming at least one trench in the gate dielectric (e.g., a back gate dielectric) and back gate adjacent to the local gate electrode. Another aspect of the invention is a nanotube field-effect transistor fabricated using such a method.Type: GrantFiled: March 19, 2010Date of Patent: June 7, 2011Assignee: International Business Machines CorporationInventors: Joerg Appenzeller, Phaedon Avouris, Yu-Ming Lin
-
Patent number: 7932153Abstract: A threshold control layer of a second MIS transistor is formed under the same conditions for forming a threshold control layer of a first MIS transistor. LLD regions of the second MIS transistor are formed under the same conditions for forming LDD regions of a third transistor.Type: GrantFiled: October 26, 2009Date of Patent: April 26, 2011Assignee: Panasonic CorporationInventors: Takashi Nakabayashi, Hideyuki Arai, Mitsuo Nissa
-
Semiconductor device including I/O oxide nitrided core oxide on substrate, and method of manufacture
Publication number: 20110081758Abstract: A semiconductor device includes a semiconductor substrate, wherein the semiconductor substrate includes a core area for core circuits and a peripheral area for peripheral circuits. The semiconductor device includes a core oxide on the semiconductor substrate in the core area, a portion of the core oxide being nitrided, a first polysilicon pattern on the core oxide, an I/O oxide including pure oxide on the semiconductor substrate in the peripheral area, and a second polysilicon pattern on the I/O oxide.Type: ApplicationFiled: October 13, 2010Publication date: April 7, 2011Inventors: Zhen-Cheng Wu, Yung-Cheng Lu, Pi-Tsung Chen, Ying-Tsung Chen