Closed Or Loop Gate Patents (Class 438/284)
  • Patent number: 7990759
    Abstract: The memory cell comprises first and second inverter circuits, connected in a loop. First and second decoupling transistors, normally turned off outside the write phases, are respectively connected between an output of the second inverter circuit and first and second inputs of the first inverter circuit. The memory cell is thereby protected against transient disturbances due to ionizing particles. The gates of the decoupling transistors are preferably respectively connected to a supply voltage for the P-type decoupling transistors and grounded for the N-type decoupling transistors.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: August 2, 2011
    Assignee: IROC Technologies
    Inventors: Michel Nicolaidis, Renaud Perez
  • Patent number: 7989279
    Abstract: A method of fabricating a semiconductor device in which a plurality of conductive lines having a fine pitch and a uniform thickness can be formed is provided. The method includes forming a plurality of first conductive patterns in a insulation layer as closed curves, forming a plurality of mask patterns on the insulation layer, the mask patterns exposing end portions of each of the first conductive patterns, and forming a plurality of second conductive patterns in the insulation layer as lines by removing the end portions of each of the first conductive patterns.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: August 2, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hwan Ryu, Jun Seo, Eun-Young Kang, Jae-Seung Hwang, Sung-Un Kwon
  • Patent number: 7977196
    Abstract: A semiconductor device includes an active region defining at least four surfaces, the four surfaces including first, second, third, and fourth surfaces, a gate insulation layer formed around the four surfaces of the active region, and a gate electrode formed around the gate insulation layer and the four surfaces of the active region.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: July 12, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jun-Hee Cho
  • Patent number: 7977749
    Abstract: A semiconductor device includes an active region defining at least four surfaces, the four surfaces including first, second, third, and fourth surfaces, a gate insulation layer formed around the four surfaces of the active region, and a gate electrode formed around the gate insulation layer and the four surfaces of the active region.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: July 12, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jun-Hee Cho
  • Patent number: 7972930
    Abstract: In a transistor and a method of manufacturing the same, the transistor includes a channel layer arranged on a substrate, a source electrode and a drain electrode formed on the substrate so as to contact respective ends of the channel layer, a gate insulating layer surrounding the channel layer between the source electrode and the drain electrode, and a gate electrode surrounding the gate insulating layer.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: July 5, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Jae-Eun Jang, Seung-Nam Cha, Jae-Eun Jung, Yong-Wan Jin
  • Patent number: 7968409
    Abstract: A Mixed-Signal Semiconductor Platform Incorporating Castellated-Gate MOSFET device(s) capable of Fully-Depleted operation is disclosed along with a method of making the same. The composite device/technology platform has robust I/O applications and includes a starting semiconductor substrate of a first conductivity type. One or more isolated regions of at least a first conductivity type is separated by trench isolation insulator islands. Within an isolated region designated for castellated-gate MOSFETs there exists a semiconductor body consisting of an upper portion with an upper surface, and a lower portion with a lower surface. Also within the castellated-gate MOSFET region, there exists a source region, a drain region, and a channel-forming region disposed between the source and drain regions, and are all formed within the semiconductor substrate body.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: June 28, 2011
    Inventor: John J. Seliskar
  • Patent number: 7964466
    Abstract: A drive strength tunable FinFET, a method of drive strength tuning a FinFET, a drive strength ratio tuned FinFET circuit and a method of drive strength tuning a FinFET, wherein the FinFET has either at least one perpendicular and at least one angled fin or has at least one double-gated fin and one split-gated fin.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: June 21, 2011
    Assignee: International Business Machines Corporation
    Inventors: Kerry Bernstein, Edward J. Nowak, BethAnn Rainey
  • Patent number: 7960800
    Abstract: Disclosed are semiconductor dice with backside trenches filled with elastic conductive material. The trenches reduce the on-state resistances of the devices incorporated on the dice. The elastic conductive material provides a conductive path to the backsides of the die with little induced stress on the semiconductor die caused by thermal cycling. Also disclosed are packages using the dice, and methods of making the dice.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: June 14, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Michael D. Gruenhagen, Suku Kim, James J. Murphy, Eddy Tjhia, Chung-Lin Wu, Mark Larsen, Douglas E. Dolan
  • Patent number: 7960234
    Abstract: One embodiment of the present invention relates to a method of fabricating a multi-gate transistor. During the method a second gate electrode material is selectively removed from a semiconductor structure from which the multi-gate transistor is formed, thereby exposing at least one surface of a first gate electrode material. The exposed surface of the first gate electrode material is deglazed. Subsequently, the first gate electrode material is removed. Other methods and devices are also disclosed.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: June 14, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Craig Henry Huffman, Weize Xiong, Cloves Rinn Cleavelin
  • Patent number: 7955932
    Abstract: A single electron transistor includes source/drain layers disposed apart on a substrate, at least one nanowire channel connecting the source/drain layers, a plurality of oxide channel areas in the nanowire channel, the oxide channel areas insulating at least one portion of the nanowire channel, a quantum dot in the portion of the nanowire channel insulated by the plurality of oxide channel areas, and a gate electrode surrounding the quantum dot.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: June 7, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Dae Suk, Kyoung-Hwan Yeo, Ming Li, Yun-Young Yeoh
  • Patent number: 7939412
    Abstract: An electronic device can include an insulating layer and a fin-type transistor structure. The fin-type structure can have a semiconductor fin and a gate electrode spaced apart from each other. A dielectric layer and a spacer structure can lie between the semiconductor fin and the gate electrode. The semiconductor fin can include channel region including a portion associated with a relatively higher VT lying between a portion associated with a relatively lower VT and the insulating layer. In one embodiment, the supply voltage is lower than the relatively higher VT of the channel region. A process for forming the electronic device is also disclosed.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: May 10, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, James D. Burnett
  • Patent number: 7935599
    Abstract: A method is provided for removing reentrant stringers in the fabrication of a nanowire transistor (NWT). The method provides a cylindrical nanostructure with an outside surface axis overlying a substrate surface. The nanostructure includes an insulated semiconductor core. A conductive film is conformally deposited overlying the nanostructure, to function as a gate strap or a combination gate and gate strap. A hard mask insulator is deposited overlying the conductive film and selected regions of the hard mask are anisotropically plasma etched. As a result, a conductive film gate electrode is formed substantially surrounding a cylindrical section of nanostructure. Inadvertently, conductive film reentrant stringers may be formed adjacent the nanostructure outside surface axis, made from the conductive film. The method etches, and so removes the conductive film reentrant stringers.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: May 3, 2011
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Mark A. Crowder, Yutaka Takafuji
  • Patent number: 7910413
    Abstract: A method of manufacturing a fin structure comprises forming a first structure of a first material type on a wafer and forming a buried channel of a second material adjacent sidewalls of the first structure. The second material type is different than the first material type. The structure includes a first structure and a buried channel.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Publication number: 20110062421
    Abstract: First semiconductor layers are in source/drain regions on the semiconductor substrate. A second semiconductor layer comprises first portions on the first semiconductor layers and a second portion in a linear form in a channel region between the source/drain regions. A gate electrode is around the second portion of the second semiconductor layer via an insulating film. A film thickness of the second portion of the second semiconductor layer is smaller than a film thickness of the first portion of the second semiconductor layer.
    Type: Application
    Filed: February 4, 2010
    Publication date: March 17, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masayoshi Iwayama, Yoshiaki Asao, Takeshi Kajiyama
  • Publication number: 20110062417
    Abstract: First semiconductor layers are in source/drain regions on the semiconductor substrate. A second semiconductor layer comprises first portions on the first semiconductor layers and a second portion on a channel region between the source/drain regions. Third semiconductor layers are on the first portions of the second semiconductor layer. A gate electrode is around the second portion of the second semiconductor layer via an insulating film. Contact plugs are in the first semiconductor layers, the first portions of the second semiconductor layers and the third semiconductor layers in the source/drain regions. A diameter of the contact plug in the second semiconductor layer is smaller than a diameter of the contact plug in the first and third semiconductor layers.
    Type: Application
    Filed: February 4, 2010
    Publication date: March 17, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masayoshi Iwayama, Takeshi Kajiyama, Yoshiaki Asao
  • Patent number: 7902605
    Abstract: A resistive element having two vertical resistive portions placed in two holes formed in the upper portion of a substrate and a horizontal resistive portion placed in a buried cavity connecting the bottoms of the holes.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: March 8, 2011
    Inventor: Christine Anceau
  • Publication number: 20110020987
    Abstract: A nonplanar semiconductor device and its method of fabrication is described. The nonplanar semiconductor device includes a semiconductor body having a top surface opposite a bottom surface formed above an insulating substrate wherein the semiconductor body has a pair laterally opposite sidewalls. A gate dielectric is formed on the top surface of the semiconductor body on the laterally opposite sidewalls of the semiconductor body and on at least a portion of the bottom surface of semiconductor body. A gate electrode is formed on the gate dielectric, on the top surface of the semiconductor body and adjacent to the gate dielectric on the laterally opposite sidewalls of semiconductor body and beneath the gate dielectric on the bottom surface of the semiconductor body. A pair source/drain regions are formed in the semiconductor body on opposite sides of the gate electrode.
    Type: Application
    Filed: September 29, 2010
    Publication date: January 27, 2011
    Inventors: Scott A. Hareland, Robert S. Chau, Brian S. Doyle, Rafael Rios, Tom Linton, Suman Datta
  • Publication number: 20110018065
    Abstract: A method of manufacturing a semiconductor device is disclosed comprising providing an insulating carrier (10) such as an oxide wafer; providing a channel structure (20) between a source structure (12) and a drain structure (14) on said carrier (10); selectively removing a part of the channel structure (20), thereby forming a recess (22) between the channel structure (20) and the carrier (10); exposing the device to an annealing step such that the channel structure (20?) obtains a substantially cylindrical shape; forming a confinement layer (40) surrounding the substantially cylindrical channel structure (20?); growing an oxide layer (50) surrounding the confinement layer (40); and forming a gate structure (60) surrounding the oxide layer (50). The substantially cylindrical channel structure 20? may comprise the semiconductor layer 30. A corresponding semiconductor device is also disclosed.
    Type: Application
    Filed: February 17, 2009
    Publication date: January 27, 2011
    Applicant: NXP B.V.
    Inventors: Gilberto Curatola, Prabhat Agarwal, Mark J. H. Van Dal, Vijayaraghavan Madakasira
  • Patent number: 7875936
    Abstract: Power MOS device of the type comprising a plurality of elementary power MOS transistors having respective gate structures and comprising a gate oxide with double thickness having a thick central part and lateral portions of reduced thickness. Such device exhibiting gate structures comprising first gate conductive portions overlapped onto said lateral portions of reduced thickness to define, for the elementary MOS transistors, the gate electrodes, as well as a conductive structure or mesh. Such conductive structure comprising a plurality of second conductive portions overlapped onto the thick central part of gate oxide and interconnected to each other and to the first gate conductive portions by means of a plurality of conducive bridges.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: January 25, 2011
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Angelo Magri, Ferruccio Frisina, Giuseppe Ferla
  • Patent number: 7867859
    Abstract: Semiconductor device performance is improved via a gate structure having a tunable effective workfunction and reduced gate depletion effects. According to an example embodiment, the design threshold voltage of a semiconductor device is adjusted in a manner that includes providing a gate having a workfunction that enables operation of the semiconductor device at a selected voltage. The gate is formed having two different conductive materials with different electric workfunctions that both significantly contribute to the overall workfunction of the gate. The relative composition, thickness, and arrangement of each of the two conductive materials is selected to attain a gate electrode workfunction that is different than the workfunctions of each of the two layers and that sets the threshold voltage of the semiconductor device. The adjustability of the effective workfunction of the gate electrode can be applied to a variety of semiconductor devices.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: January 11, 2011
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Steven Hung, Judy L. Hoyt, James F. Gibbons
  • Patent number: 7851832
    Abstract: Electrode placement which applies easy heat dispersion of a semiconductor device with high power density and high exothermic density is provided for the semiconductor device including: a gate electrode, a source electrode, and a drain electrode which are placed on a first surface of a substrate 10, and have a plurality of fingers, respectively; gate terminal electrodes G1, G2, . . . , G4, source terminal electrodes S1, S2, . . . , S5, and a drain terminal electrode D which are placed on the first surface, and governs a plurality of fingers, respectively every the gate electrode, the source electrode, and the drain electrode; active areas AA1, AA2, . . . , AA5 placed on the substrate of the lower part of the gate electrode, the source electrode, and the drain electrode; a non-active area (BA) adjoining the active areas and placed on the substrate; and VIA holes SC1, SC2, . . .
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: December 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazutaka Takagi
  • Patent number: 7847346
    Abstract: A trench MOSFET with trench source contact structure having copper wire bonding is disclosed. By employing the proposed structure, die size can be shrunk into 30%˜70% with high cell density, and the spreading resistance is significantly reduce without adding expensive thick metal layer as prior art. To further reduce fabricating cost, copper wire bonding is used with requirement of thick Al alloys.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: December 7, 2010
    Assignee: Force Mos Technology Co., Ltd.
    Inventors: Ming-Tao Chung, Fu-Yuan Hsieh
  • Patent number: 7820513
    Abstract: A nonplanar semiconductor device and its method of fabrication is described. The nonplanar semiconductor device includes a semiconductor body having a top surface opposite a bottom surface formed above an insulating substrate wherein the semiconductor body has a pair laterally opposite sidewalls. A gate dielectric is formed on the top surface of the semiconductor body on the laterally opposite sidewalls of the semiconductor body and on at least a portion of the bottom surface of semiconductor body. A gate electrode is formed on the gate dielectric, on the top surface of the semiconductor body and adjacent to the gate dielectric on the laterally opposite sidewalls of semiconductor body and beneath the gate dielectric on the bottom surface of the semiconductor body. A pair source/drain regions are formed in the semiconductor body on opposite sides of the gate electrode.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: October 26, 2010
    Assignee: Intel Corporation
    Inventors: Scott A. Hareland, Robert S. Chau, Brian S. Doyle, Rafael Rios, Tom Linton, Suman Datta
  • Patent number: 7799646
    Abstract: A semiconductor device includes a main field effect transistor (FET) and one or more sense FETs, and a common gate pad. The main FET and the one or more sense FETs are formed in a common substrate. The main FET and each of the sense FETs include a source terminal, a gate terminal and a drain terminal. The common gate pad connects the gate terminals of the main FET and the one or more sense FETs. An electrical isolation is disposed between the gate terminals of the main FET and the one or more sense FETs. Embodiments of this invention may be applied to both N-channel and P-channel MOSFET devices.
    Type: Grant
    Filed: April 7, 2008
    Date of Patent: September 21, 2010
    Assignee: Alpha & Omega Semiconductor, Ltd
    Inventors: Yi Su, Anup Bhalla, Daniel Ng
  • Patent number: 7790555
    Abstract: A semiconductor device manufacturing method includes a field oxide insulation film forming step, an electrode forming step, and a resistor forming step. The field oxide insulation film forming step comprises forming a field oxide insulation film on a surface of the semiconductor substrate so that a portion which corresponds to a side surface portion for each of active regions formed on the surface of the semiconductor substrate, which opposes a rotation center of the surface of the semiconductor substrate in spin-coating of a photoresist in the electrode forming step, and which is located at a front side of a centrifugal force acting direction along the surface of the semiconductor substrate has a curved surface that is convex in a forward direction of the centrifugal force along the surface of the semiconductor substrate as seen in plan view of the semiconductor substrate.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: September 7, 2010
    Assignee: Seiko Instruments Inc.
    Inventors: Akiko Tsukamoto, Hisashi Hasegawa, Jun Osanai
  • Patent number: 7781290
    Abstract: A complementary metal-oxide semiconductor (CMOS) device includes an NMOS thin body channel including a silicon epitaxial layer. An NMOS insulating layer is formed on a surface of the NMOS thin body channel and surrounds the NMOS thin body channel. An NMOS metal gate is formed on the NMOS insulating layer. The CMOS device further includes a p-channel metal-oxide semiconductor (PMOS) transistor including a PMOS thin body channel including a silicon epitaxial layer. A PMOS insulating layer is formed on a surface of and surrounds the PMOS thin body channel. A PMOS metal gate is formed on the PMOS insulating layer. The NMOS insulating layer includes a silicon oxide layer and the PMOS insulating layer includes an electron-trapping layer, the NMOS insulating layer includes a hole trapping dielectric layer and the PMOS insulating layer includes a silicon oxide layer, or the NMOS insulating layer includes a hole-trapping dielectric layer and the PMOS insulating layer includes an electron-trapping dielectric layer.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: August 24, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-young Lee, Sung-min Kim, Sung-dae Suk, Eun-jung Yun
  • Patent number: 7776684
    Abstract: Methods and apparatuses to increase a surface area of a memory cell capacitor are described. An opening in a second insulating layer deposited over a first insulating layer on a substrate is formed. The substrate has a fin. A first insulating layer is deposited over the substrate adjacent to the fin. The opening in the second insulating layer is formed over the fin. A first conducting layer is deposited over the second insulating layer and the fin. A third insulating layer is deposited on the first conducting layer. A second conducting layer is deposited on the third insulating layer. The second conducting layer fills the opening. The second conducting layer is to provide an interconnect to an upper metal layer. Portions of the second conducting layer, third insulating layer, and the first conducting layer are removed from a top surface of the second insulating layer.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: August 17, 2010
    Assignee: Intel Corporation
    Inventors: Brian S. Doyle, Robert S. Chau, Vivek De, Suman Datta, Dinesh Somasekhar
  • Patent number: 7772048
    Abstract: A semiconductor device is made by steps of removing portions of a first capping layer, removing portions of a sacrificial layer, recessing sidewalls, and forming fin structures. The step of removing portions of the first capping layer forms a first capping structure that covers portions of the sacrificial layer. The step of removing portions of the sacrificial layer removes portions of the sacrificial layer that are not covered by the first capping structure to define an intermediate structure. The step of recessing the sidewalls recesses sidewalls of the intermediate structure relative to edge regions of the first capping structure to form a sacrificial structure having recessed sidewalls. The step of forming fin structures forms fin structures adjacent to the recessed sidewalls.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: August 10, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert E. Jones, Rickey S. Brownson
  • Publication number: 20100197097
    Abstract: To provide a manufacturing method of a semiconductor memory device, the method including forming contact plugs to be connected to a drain region or a source region of each of transistors, by using a SAC line technique of selectively etching an insulation layer that covers each of the transistors by using a mask having a line-shaped opening provided across the contact plugs. Each of the transistors constituting a sense amplifier that amplifies a potential difference between bit lines is a ring-gate transistor.
    Type: Application
    Filed: January 29, 2010
    Publication date: August 5, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Eiji HASUNUMA, Shigeru SHIRATAKE, Takeshi OHGAMI
  • Patent number: 7745886
    Abstract: A disclosed embodiment is a switching circuit including a number of transistors fabricated in a device layer situated over a buried oxide layer and a bulk semiconductor layer. Each transistor has a source/drain junction that does not contact the buried oxide layer, thus forming a source/drain junction capacitance. The disclosed switching circuit also includes at least one trench extending through the device layer and contacting a top surface of the buried oxide layer, thus electrically isolating at least one of the transistors in the switching circuit so as to reduce voltage and current fluctuations in the device layer. The disclosed switching circuit may be coupled to a power amplifier or a low noise amplifier and an antenna in a wireless communications device, and be controlled by a switch control signal in the wireless communications device.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: June 29, 2010
    Assignee: Newport Fab, LLC
    Inventors: Robert L. Zwingman, Marco Racanelli
  • Publication number: 20100151645
    Abstract: A semiconductor device according to an embodiment of the present invention includes: a square pole-shaped channel portion made from a first semiconductor layer formed on a substrate, and surrounded with four side faces; a gate electrode formed on a first side face of the channel portion, and a second side face of the channel portion opposite to the first side face through respective gate insulating films; a source region having a conductivity type different from that of the channel portion and being formed on a third side face of the channel portion, the source region including a second semiconductor layer having a lattice constant different from that of the first semiconductor layer and being formed directly on the substrate; and a drain region having a conductivity type different from that of the channel portion and being formed on a fourth side face of the channel portion opposite to the third side face, the drain region including the second semiconductor layer being formed directly on the substrate.
    Type: Application
    Filed: February 16, 2010
    Publication date: June 17, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Nobuyasu Nishiyama, Katsunori Yahashi
  • Patent number: 7723805
    Abstract: An electronic device can include an insulating layer and a fin-type transistor structure. The fin-type structure can have a semiconductor fin and a gate electrode spaced apart from each other. A dielectric layer and a spacer structure can lie between the semiconductor fin and the gate electrode. The semiconductor fin can include channel region including a portion associated with a relatively higher VT lying between a portion associated with a relatively lower VT and the insulating layer. In one embodiment, the supply voltage is lower than the relatively higher VT of the channel region. A process for forming the electronic device is also disclosed.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: May 25, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, James D. Burnett
  • Patent number: 7723808
    Abstract: The present invention provides a semiconductor device and a method of manufacturing a semiconductor device in which a driving force can be increased by increasing a strain amount given by a stressed film in a MOS transistor including an elevated region. On a silicon substrate, a device isolation region 102, a gate insulating film 103, a gate electrode 104, an extension 105, and a sidewall insulating film 106 are formed. After that, an elevated region is formed, and a source/drain region 108 and a silicide layer 109 are formed. Subsequently, the sidewall insulating film 106 is etched to provide a gap from the elevated region 107, and a stressed film 110 is buried in the gap.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: May 25, 2010
    Assignee: NEC Corporation
    Inventors: Yoshifumi Okuda, Hitoshi Wakabayashi
  • Patent number: 7709328
    Abstract: The semiconductor device includes a device isolation structure formed in a semiconductor substrate to define an active region, a bridge type channel structure formed in the active region, and a coaxial type gate electrode surrounding the bridge type channel structure of a gate region. The bridge type channel structure is separated from the semiconductor substrate thereunder by a predetermined distance in a vertical direction.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: May 4, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kang Sik Choi
  • Publication number: 20100105183
    Abstract: A semiconductor device includes an active region defining at least four surfaces, the four surfaces including first, second, third, and fourth surfaces, a gate insulation layer formed around the four surfaces of the active region, and a gate electrode formed around the gate insulation layer and the four surfaces of the active region.
    Type: Application
    Filed: December 28, 2009
    Publication date: April 29, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jun-Hee CHO
  • Patent number: 7705401
    Abstract: A fin-channel recess-gate MISFET has a fin channel including a first portion configured by a portion of a silicon substrate and a second portion configured by a pair of silicon layers selectively grown on the silicon substrate. The first portion is disposed below the recess of the recess gate and above an isolation film of a STI structure formed on the silicon substrate. The second portion is disposed above the recess of the recess gate.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: April 27, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Noriaki Mikasa
  • Patent number: 7700456
    Abstract: A manufacturing method of a semiconductor device includes a step of defining an element region by etching a semiconductor substrate using a first dielectric film as a mask, a step of reducing the first dielectric film by isotropic etching, a step of forming a side wall on a side surface of the reduced first dielectric film, a step of removing the first dielectric film, and a step of forming a trench in the element region by etching using the side wall as a mask to form a plurality of fin portions at the element region.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: April 20, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Noriaki Mikasa
  • Publication number: 20100090759
    Abstract: A quantum interference transistor may include a source; a drain; N channels (N?2), between the source and the drain, and having N?1 path differences between the source and the drain; and at least one gate disposed at one or more of the N channels. One or more of the N channels may be formed in a graphene sheet. A method of manufacturing the quantum interference transistor may include forming one or more of the N channels using a graphene sheet. A method of operating the quantum interference transistor may include applying a voltage to the at least one gate. The voltage may shift a phase of a wave of electrons passing through a channel at which the at least one gate is disposed.
    Type: Application
    Filed: September 23, 2009
    Publication date: April 15, 2010
    Inventors: Jai-kwang Shin, Sun-ae Seo, Jong-seob Kim, Ki-ha Hong, Hyun-jong Chung
  • Publication number: 20100072455
    Abstract: A well-structure anti-punch-through microwire device and associated fabrication method are provided. The method initially forms a microwire with alternating highly and lightly doped cylindrical regions. A channel ring is formed external to the microwire outer shell and surrounding a first dopant well-structure region in the microwire, between source and drain (S/D) regions of the microwire. The S/D regions are doped with a second dopant, opposite to the first dopant. A gate dielectric ring is formed surrounding the channel ring, and a gate electrode ring is formed surrounding the gate dielectric ring. The well-structure, in contrast to conventional micro or nanowire transistors, helps prevent the punch-through phenomena.
    Type: Application
    Filed: September 22, 2008
    Publication date: March 25, 2010
    Inventor: Mark Albert Crowder
  • Patent number: 7663166
    Abstract: Provided are relatively higher-performance wire-type semiconductor devices and relatively economical methods of fabricating the same. A wire-type semiconductor device may include at least one pair of support pillars protruding above a semiconductor substrate, at least one fin protruding above the semiconductor substrate and having ends connected to the at least one pair of support pillars, at least one semiconductor wire having ends connected to the at least one pair of support pillars and being separated from the at least one fin, a common gate electrode surrounding the surface of the at least one semiconductor wire, and a gate insulating layer between the at least one semiconductor wire and the common gate electrode.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: February 16, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Pil Kim, Yoon-Dong Park, Won-Joo Kim
  • Patent number: 7646045
    Abstract: A nanoelement field effect transistor includes a nanotube disposed on the substrate. A first source/drain region is coupled to a first end portion of the nanoelement and a second source/drain region is coupled to a second end portion of the nanoelement. A recess in a surface region of the substrate is arranged in such a manner that a region of the nanoelement arranged between the first and second end portions is taken out over the entire periphery of the nanoelement. A gate-insulating structure covers the periphery of the nanoelement and a gate structure covers the periphery of the gate-insulating structure.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: January 12, 2010
    Assignee: Qimonda AG
    Inventors: Franz Kreupl, Robert Seidel
  • Patent number: 7642167
    Abstract: The present invention relates to a SON (Silicon-On-Nothing) MOSFET having a beam structure and an inverter using thereof and the method for fabricating thereof to increase the efficiency and performance of a MOSFET. A method for fabricating the SON MOSFET according to the present invention comprises the steps of (a) patterning a passivation layer on a substrate, (b) doping boron on the substrate, (c) removing the patterned passivation layer, (d) forming the beam structure on the substrate by anisotropical etching on the region not doped with boron of the substrate, (e) depositing an insulating material on the substrate having the beam structure, and (f) deposing an electrode material on the disposed insulating material.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: January 5, 2010
    Assignee: Korea Advanced Institute of Science & Technology
    Inventors: Il-Woong Kwon, Yong-Soo Lee, Hee-Chul Lee
  • Patent number: 7638398
    Abstract: A semiconductor device includes an active region defining at least four surfaces, the four surfaces including first, second, third, and fourth surfaces, a gate insulation layer formed around the four surfaces of the active region, and a gate electrode formed around the gate insulation layer and the four surfaces of the active region.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: December 29, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jun-Hee Cho
  • Patent number: 7615429
    Abstract: Integrated circuit field effect transistor devices include a substrate having a surface and an active channel pattern on the surface. The active channel pattern includes channels that are stacked upon one another and are spaced apart from one another to define at least one tunnel between adjacent channels. A gate electrode surrounds the channels and extends through the at least one tunnel. A pair of source/drain regions also is provided. Integrated circuit field effect transistors are manufactured, by forming a pre-active pattern on a surface of a substrate. The pre-active pattern includes a series of interchannel layers and channel layers stacked alternately upon each other. Source/drain regions are formed on the substrate at opposite ends of the pre-active pattern. The interchannel layers are selectively removed to form tunnels. A gate electrode is formed in the tunnels and surrounding the channels.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: November 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Dong-Gun Park, Chang-Sub Lee, Jeong-Dong Choe, Shin-Ae Lee, Seong-Ho Kim
  • Patent number: 7605039
    Abstract: Provided are a multiple-gate MOS (metal oxide semiconductor) transistor and a method of manufacturing the same. The transistor includes a single crystalline active region having a channel region having an upper portion of a streamlined shape (?) obtained by patterning an upper portion of a bulk silicon substrate with an embossed pattern, and having a thicker and wider area than the channel region; a nitride layer formed at both side surfaces of the single crystalline active region to expose an upper portion of the single crystalline active region at a predetermined height; and a gate electrode formed to be overlaid with the exposed upper portion of the single crystalline active region of the channel region.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: October 20, 2009
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Young Kyun Cho, Tae Moon Roh, Jong Dae Kim
  • Patent number: 7569883
    Abstract: Power electronic MOS device of the type comprising a plurality of elementary power MOS transistors and a gate structure comprising a plurality of conductive strips realized with a first conductive material such as polysilicon, a plurality of gate fingers or metallic tracks connected to a gate pad and at least a connection layer arranged in series to at least one of said conductive strip. Such gate structure comprising at least a plurality of independent islands formed on the upper surface of the conductive strips and suitably formed on the connection layers. Said islands being realized with at least one second conductive material such as silicide.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: August 4, 2009
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Ferruccio Frisina, Giuseppe Ferla, Angelo Magri, Antonio Giuseppe Grimaldi, Gaetano Bazzano
  • Patent number: 7569900
    Abstract: A semiconductor device includes an SiC substrate, an SiC layer of a first conductivity type disposed on the upper surface of the SiC substrate, a first SiC region of a second conductivity type disposed on the SiC layer, a second SiC region of the first conductivity type disposed on a surface region of the first SiC region, including a nitrogen-added first sub-region and a phosphorus-added second sub-region disposed in contact with the first sub-region, a gate insulating film disposed to extend over the SiC layer, first SiC region, and first sub-region of the second SiC region, a gate electrode formed on the gate insulating film, a first electrode formed on the second sub-region of the second SiC region and the first SiC region, and a second electrode formed on the lower surface of the SiC substrate.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: August 4, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Seiji Imai, Takashi Shinohe
  • Patent number: 7560347
    Abstract: A field effect transistor is formed having wrap-around, vertically-aligned, dual gate electrodes. Starting with a silicon-on-insulator (SOI) structure having a buried silicon island, a vertical reference edge is defined, by creating a cavity within the SOI structure, and used during two etch-back steps that can be reliably performed. The first etch-back removes a portion of an oxide layer for a first distance over which a gate conductor material is then applied. The second etch-back removes a portion of the gate conductor material for a second distance. The difference between the first and second distances defines the gate length of the eventual device. After stripping away the oxide layers, a vertical gate electrode is revealed that surrounds the buried silicon island on all four side surfaces.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark Charles Hakey, David Vaclav Horak, Charles William Koburger, III, Peter H. Mitchell
  • Patent number: 7550333
    Abstract: A nonplanar semiconductor device having a semiconductor body formed on an insulating layer of a substrate. The semiconductor body has a top surface opposite a bottom surface formed on the insulating layer and a pair of laterally opposite sidewalls wherein the distance between the laterally opposite sidewalls at the top surface is greater than at the bottom surface. A gate dielectric layer is formed on the top surface of the semiconductor body and on the sidewalls of the semiconductor body. A gate electrode is formed on the gate dielectric layer on the top surface and sidewalls of the semiconductor body. A pair of source/drain regions are formed in the semiconductor body on opposite sides of the gate electrode.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: June 23, 2009
    Assignee: Intel Corporation
    Inventors: Uday Shah, Brian Doyle, Justin K. Brask, Robert S. Chau, Thomas A. Letson
  • Patent number: 7547950
    Abstract: A conventional semiconductor device has a problem that it is difficult to obtain a desired breakdown voltage characteristic due to a reduction in a punch-through breakdown voltage between drain and source regions. In a semiconductor device according to the present invention, a P type diffusion layer is formed in an N type epitaxial layer. An N type diffusion layer as a back gate region is formed in the P type diffusion layer. The N type diffusion layer is formed by self-alignment using a drain electrode. This structure makes it possible to increase an impurity concentration of the N type diffusion layer in a vicinity of a P type diffusion layer as a source region. As a result, it is possible to improve a punch-through breakdown voltage between the drain and the source regions, and to achieve a desired breakdown voltage characteristic of the MOS transistor.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 16, 2009
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Ryo Kanda, Iwao Takahashi, Yoshinori Sato