Doping Region Beneath Recessed Oxide (e.g., To Form Chanstop, Etc.) Patents (Class 438/298)
  • Patent number: 6531356
    Abstract: Embodiments include a semiconductor device including a well structure such that well areas can be formed with a higher density of integration and a plurality of high-voltage endurable transistors can be driven independently of one another with different voltages, and a method of manufacturing the semiconductor device. The semiconductor device may include a triple well comprising a first well formed in a silicon substrate and having a first conductivity type (P-type), a second well formed in adjacent relation to the first well and having a second conductivity type (N-type), and a third well formed in the second well and having the first conductivity type (P-type). A high-voltage endurable MOSFET is provided in each of the wells. Each MOSFET has an offset area in the corresponding well around a gate insulating layer. The offset area is formed of a low-density impurity layer which is provided under an offset LOCOS layer on the silicon substrate.
    Type: Grant
    Filed: January 27, 2000
    Date of Patent: March 11, 2003
    Assignee: Seiko Epson Corporation
    Inventor: Masahiro Hayashi
  • Patent number: 6531355
    Abstract: A RESURF LDMOS transistor (64) includes a RESURF region (42) that is self-aligned to a LOCOS field oxide region (44). The self-alignment produces a stable breakdown voltage BVdss by eliminating degradation associated with geometric misalignment and process tolerance variation.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: March 11, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Dan M. Mosher, Taylor R. Efland
  • Publication number: 20030013258
    Abstract: An active anti-ESD pod for transporting photomask (reticle) comprises six body portions delimiting the container, an electrically conducting plate on the top portion, and an electrically conducting handle connected to the plate. An active charge sinker combined with a tag identifying the container or placed onto the photomask itself is provided to absorb the static electricity and to thus prevent charge accumulation that may otherwise cause ESD damage to the photomask.
    Type: Application
    Filed: July 12, 2001
    Publication date: January 16, 2003
    Applicant: Taiwan Semiconductor Manfacturing Co.,Ltd.
    Inventor: Fu-Sheng Lee
  • Patent number: 6500723
    Abstract: A number of small wells under the isolation layer are formed using the same mask made of photoresist and implant step that is used for the regular wells. The small wells are formed close enough together so that they merge during normal subsequent semiconductor processing to form a merged well. The normal wells and the small wells have a concentration that is greater than that of the merged well. The desired merging of the small wells is ensured by making sure that the small wells are sufficiently close together that the normal diffusion of well implants, which occurs from the particular semiconductor process that is being used, results in the merging. One desirable use of the merged well, with its lower doping concentration, is as a resistor that has more resistance than that of the regular well without requiring an additional implant.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: December 31, 2002
    Assignee: Motorola, Inc.
    Inventors: Michael G. Khazhinsky, Aykut Dengi, James W. Miller
  • Patent number: 6489657
    Abstract: A semiconductor device comprising a high withstand voltage MOS transistor of an offset drain/offset source structure easing a high electric field generated between a channel and a parasitic channel stopper in an operating state and preventing changes of a threshold voltage Vth, on-resistance Ron, or other characteristics, said device characterized in that a parasitic channel stopper layer containing an impurity is formed with a concentration gradient wherein the impurity concentration decreases along with approaching a channel region and a method of producing the same.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: December 3, 2002
    Assignee: Sony Corporation
    Inventor: Hideki Mori
  • Patent number: 6482718
    Abstract: A method of manufacturing a semiconductor device is provided which, even if device dimensions decrease, prevents degradation in the operating characteristics of semiconductor elements which are isolated from each other by an element isolation region in a trench isolation structure. Implantation of ions (15) in a polycrystalline silicon layer (3) from above through a silicon nitride film (2) produces an ion-implanted polycrystalline silicon layer (16). Since the ions (15) are an ionic species of element which acts to enhance oxidation, the implantation of the ions (15) changes the polycrystalline silicon layer (3) into the ion-implanted polycrystalline silicon layer (16) having a higher oxidation rate. In subsequent formation of a thermal oxide film (21) on the inner wall of a trench (5), exposed part of the ion-implanted polycrystalline silicon layer (16) is also oxidized, forming relatively wide polycrystalline silicon oxide areas (21a).
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: November 19, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Katsuomi Shiozawa, Takashi Kuroi, Katsuyuki Horita
  • Patent number: 6479338
    Abstract: A semiconductor substrate having a first conductivity type is first prepared. Then, a well region is formed in the substrate so as to have a second conductivity type opposite to the first conductivity type. Next, a first ion having the first conductivity type is implanted into the well region to form a region to be a first drain region having a first impurity density and into the substrate to form a region to be a first channel stopper region. Next, a second ion having the second conductivity type is implanted into the well to form a region to be a second channel stopper region and into the substrate to form a region to be a the second drain region having a second impurity density. Then, the respective ion implanted regions are thermally diffused to form the first drain region and the second channel stopper region in the well region and to form the second drain region and the first channel stopper region in the substrate.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: November 12, 2002
    Assignee: Mitsumi Electric Co., Ltd.
    Inventors: Shigeki Onodera, Ichiro Ohashi
  • Publication number: 20020160575
    Abstract: A stacked-gate flash memory cell includes a trench formed in a substrate and a tunneling oxide is formed on the substrate. A first part of the floating gate is formed on the tunneling dielectric layer. A protruding isolation filler is formed in the trench and protruding over the upper surface of the first part of the floating gate, thereby forming a cavity between the two adjacent raised isolation filler. A second part of the floating gate is formed along the surface of the cavity to have a U-shaped structure in cross sectional view. A dielectric layer is conformally formed on the surface of the second part of the floating gate and a control gate is formed on the dielectric layer.
    Type: Application
    Filed: April 30, 2001
    Publication date: October 31, 2002
    Inventor: Horng-Huei Tseng
  • Patent number: 6472279
    Abstract: The present invention provides a method of manufacturing a semiconductor device, and a related method manufacturing an integrated circuit. In one embodiment, the method of manufacturing a semiconductor device includes creating a source/drain region between an electrode and an isolation structure located on a substrate. The method further includes implanting a dopant at a predetermined implant dopant concentration through an opening formed in a channel stop mask and located between the electrode and the isolation structure to form a channel stop between the source/drain region and the isolation structure.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: October 29, 2002
    Assignee: Agere Systems Inc.
    Inventors: Robert J. Griffin, Charles W. Pearce
  • Patent number: 6461921
    Abstract: The work surface of a p-type silicon substrate has a section where an E type MOSFET is formed, and a section where an I type MOSFET having a threshold voltage of about 0.1V is formed. The MOSFET is formed using a p-type well layer having a resistivity lower than that of the ground of the silicon substrate. The well layer includes deep and shallow portions which are integrally formed and have the same resistivity. The deep well portion defines an element area for forming the MOSFET, whereas the shallow well portions are arranged immediately below element isolation films surrounding the I type MOSFET, and function as channel stoppers.
    Type: Grant
    Filed: July 11, 2000
    Date of Patent: October 8, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Norihisa Arai
  • Patent number: 6448104
    Abstract: A solid imaging device including: a semiconductor substrate of a first conductivity types a layer of a second conductivity type formed on a surface of the semiconductor substrate, the layer at least including a photosensitive portion of the second conductivity type; and a MOS transistor of the second conductivity type coupled to the photosensitive portion, wherein the solid imaging device further includes a layer of the first conductivity type in at least a channel region of the MOS transistor of the second conductivity type, the layer of the first conductivity type having an impurity concentration which is higher than an impurity concentration of the semiconductor substrate, and wherein at least a portion of a boundary of the layer of the second conductivity type is in direct contact with the semiconductor substrate.
    Type: Grant
    Filed: April 22, 1999
    Date of Patent: September 10, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takashi Watanabe
  • Patent number: 6387763
    Abstract: A field effect transistor having a variable doping profile is presented. The field effect transistor is integrated on a semiconductor substrate with a respective active area of the substrate including a source and drain region. A channel region is interposed between the source and drain regions and has a predefined nominal width. The effective width of the channel region is defined by a variable doping profile.
    Type: Grant
    Filed: November 18, 1999
    Date of Patent: May 14, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventors: Federico Pio, Paola Zuliani
  • Patent number: 6362035
    Abstract: A method for incorporating an ion implanted channel stop layer under field isolation for a twin-well CMOS process is described in which the layer is placed directly under the completed field isolation by a blanket boron ion implant over the whole wafer. The channel stop implant follows planarization of the field oxide and is thereby essentially at the same depth in both field and active regions. Subsequently implanted p- and n-wells are formed deeper than the channel stop layer, the n-well implant being of a sufficiently higher dose to over compensate the channel stop layer, thereby removing it's effect from the n-well. A portion of the channel stop implant under the field oxide adjacent the p-well provides effective anti-punchthrough protection with only a small increase in junction capacitance. The method is shown for, and is particularly effective in, processes utilizing shallow trench isolation.
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: March 26, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jiaw-Ren Shih, Shui-Hung Chen, Jian-Hsing Lee, Hsien-Chin Lin
  • Publication number: 20020034855
    Abstract: A semiconductor memory device comprising: a source diffusion layer formed on a semiconductor substrate and connected to a fixed potential line; a plurality of columnar semiconductor layers arranged in a matrix form and formed on the source diffusion layer and each having one end connected to the source diffusion layer commonly, the columnar semiconductor layer taking a first data state with a first threshold voltage that excessive majority carriers are accumulated in the columnar semiconductor layer, and a second data state with a second threshold voltage that excessive majority carriers are discharged from the columnar semiconductor layer; a plurality of drain diffusion layers each formed at the other end of the columnar semiconductor layer; a plurality of gate electrodes each opposed to the columnar semiconductor layer via a gate insulating film, and connected to the word line; a plurality of word lines each connected to corresponding the gate electrodes; and a plurality of bit lines each connected to corre
    Type: Application
    Filed: September 7, 2001
    Publication date: March 21, 2002
    Inventors: Fumio Horiguchi, Takashi Ohsawa
  • Publication number: 20020027293
    Abstract: A semiconductor device, includes a semiconductor substrate having a first surface and a second surface opposite the first surface, and having a piercing hole piercing there-through from the first surface to the second surface, an insulating film formed on the first surface of the semiconductor substrate having the piercing hole extended there-through and a piercing electrode formed in the piercing hole and extending from the insulating surface to the second surface, wherein the piercing hole has a first diameter in the insulating film and a second diameter in the semiconductor substrate which is wider than the first diameter, the piercing electrode has a substantially same diameter as the first diameter along a whole length thereof, and an insulating film sleeve lies between the piercing electrode and an inside wall of the piercing hole in the semiconductor substrate.
    Type: Application
    Filed: October 22, 2001
    Publication date: March 7, 2002
    Applicant: Fujitsu Limited
    Inventor: Masataka Hoshino
  • Patent number: 6352898
    Abstract: A method for manufacturing a ferroelectric random access memory (FeRAM) device which includes the steps of preparing an active matrix provided with a transistor, diffusion regions, an isolation region, a bit line, a first insulating layer and a second insulating layer; forming a first conductive layer and then a dielectric layer on the active matrix; carrying out a rapid thermal annealing (RTA) for producing nuclei in the dielectric layer; forming a second conductive on top of the dielectric layer; carrying out a thermal annealing in a furnace; forming a capacitor structure provided with a top electrode, a capacitor thin film and a bottom electrode by patterning the second conductive, the dielectric and the first conductive layers into a first predetermined configuration; carrying out a first recovery; forming a third insulating layer on the capacitor structure and the second insulating layer; patterning the third insulating layer to form a first opening and a second opening; and carrying out a second recover
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: March 5, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Woo-Seok Yang, Deuk-Soo Pyun
  • Patent number: 6350637
    Abstract: Method of fabrication of a no-field transistor with no extra process costs, providing for defining an active area for the transistor surrounded by a thick field oxide layer, insulatively placing a polysilicon gate electrode across the active area to define source/drain regions of the no-field transistor, providing an implant protection mask over a boundary between at least one of the source/drain regions and the field oxide layer, selectively implanting in said source/drain regions a relatively heavy dose of dopants to form relatively heavily doped source/drain regions and to simultaneously dope the polysilicon gate electrode, the polysilicon gate electrode formed with lateral wings extending towards the at least one source/drain region, and the implant protection mask extending over the lateral wings but not over the polysilicon gate.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: February 26, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventors: Alfonso Maurelli, Paola Zabberoni
  • Patent number: 6337243
    Abstract: A semiconductor processing method of providing a hemispherical grain polysilicon layer atop a substrate includes, a) providing a substantially amorphous layer of silicon over a substrate at a selected temperature; b) raising the temperature of the substantially amorphous silicon layer to a higher dielectric layer deposition temperature, the temperature raising being effective to transform the amorphous silicon layer into hemispherical grain polysilicon; and c) depositing a dielectric layer over the silicon layer at the higher dielectric deposition temperature. Transformation to hemispherical grain might occur during the temperature rise to the higher dielectric layer deposition temperature, after the higher dielectric layer deposition temperature has been achieved but before dielectric layer deposition, or after the higher dielectric layer deposition temperature has been achieved and during dielectric layer deposition.
    Type: Grant
    Filed: January 25, 2001
    Date of Patent: January 8, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xang Ping, Randhir P. S. Thakur
  • Patent number: 6333234
    Abstract: The present invention provides a method for making a HVMOS transistor on a SOI substrate. The method according to the present invention involves forming a plurality of shallow trench isolations (STI) and at least one active area isolated by each shallow trench isolation on the SOI substrate. Then, two unneighboring field oxide layers and a gate are formed on the surface of the active area, with a portion of the gate covering the two field oxide layers. Thereafter, two double diffuse drains(DDD) are formed on the surface of the active area not covered by the gate and the two field oxide layers. Finally, a drift region of the HVMOS transistor is formed at the bottom of the two field oxide layers.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: December 25, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Chang-Miao Liu
  • Patent number: 6329271
    Abstract: A short channel insulated gate field effect transistor has within the semiconductor body that houses the transistor a buried layer of the same conductivity type as the body but of higher impurity concentration. The buried layer is below the channel region and essentially extends only the distance between the drain and source regions of the transistor. The process to form the device provides high concentration in the region under the gate to suppress lateral depletion region expansion, while keeping a gradual junction in the vertical direction.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: December 11, 2001
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Hiroyuki Akatsu, Yujun Li, Jochen Beintner
  • Publication number: 20010049171
    Abstract: A CMOS device includes a reverse electric conduction type well (2) is formed on a monoelectric conduction type semiconductor substrate (1), a first MOS transistor (3) of a reverse electric conduction type channel is formed on a surface of the semiconductor substrate, and a second MOS transistor (4) of monoelectric conduction type channel is formed on a surface of the well. In the present invention, resistance elements (8R, 7R, 2R) are formed in the semiconductor substrate on a lower side of a thick field oxide film (9) covering a surface of the semiconductor substrate. Further, a second resistance element (11R) composed of a polycrystal silicon layer is formed on an upper side of the field oxide film.
    Type: Application
    Filed: May 19, 1999
    Publication date: December 6, 2001
    Inventor: SHIGEKI ONODERA
  • Patent number: 6319759
    Abstract: A method of forming oxide and gate oxide areas of differing thicknesses. The processes disclosed include using an electromagnetic wave light at differing exposure durations and/or different energy levels to create oxide of differing thicknesses on a layer. The electromagnetic wave is preferably a laser.
    Type: Grant
    Filed: August 10, 1998
    Date of Patent: November 20, 2001
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, William H. Ma
  • Patent number: 6312996
    Abstract: There is provided a method for fabricating a semiconductor device comprising a semiconductor layer of a first conductivity type, a source region of a second conductivity type formed within the semiconductor layer, a drain region of the second conductivity type formed within the semiconductor layer, a channel region provided between the source and drain regions, a gate electrode formed over the channel region, and a buried region of the first conductivity type having at least a part included in the drain region. The method for fabricating the semiconductor device comprises the steps of doping the semiconductor layer with a dopant of the second conductivity type for the drain region and doping the semiconductor layer with a dopant of the first conductivity type for the buried region.
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: November 6, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Seiji Sogo
  • Patent number: 6309949
    Abstract: A process for forming an isolation region while substantially eliminating weak oxide effects, comprising the steps of obtaining a semiconductor substrate patterned with a plurality of mesas with sidewalls, each of the mesas comprising at least a first insulator layer and a second different insulated layer thereover, forming a trench between the mesas into the semiconductor substrate, removing a lateral portion of the first insulator layer exposed at the sidewalls of the mesas to thereby undercut the second insulator layer at its sidewall edges, forming an oxide layer on exposed areas of the semiconductor substrate below the undercut of the second insulator layer, and filling the trench with an insulator material.
    Type: Grant
    Filed: November 16, 1999
    Date of Patent: October 30, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Yue Song He, Yowjuang William Liu
  • Patent number: 6306711
    Abstract: A high-voltage lateral double-diffused metal oxide semiconductor has a field metal plate or an electrical field shield conductive layer, which is electrically coupled with a gate or a gate conductive layer that lies over a field oxide layer. A wire bridges over the field oxide layer and thus decreases the strength of the electrical field. The field oxide layer under the crossing wire has no drift region below. Therefore, the electrical field crowding effect does not occur at the junction between the drift region and the channel. In addition, there is no wire over the field oxide layer having the drift region below. Thus, the components can work normally. In this way, the strength of electrical field between the drift region and the channel decreaes and the breakdown voltage of high-voltage lateral double-diffused metal oxide semiconductor increases.
    Type: Grant
    Filed: November 3, 1998
    Date of Patent: October 23, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Sheng-Hsing Yang
  • Patent number: 6291330
    Abstract: A fabrication method for a gate structure formed on a substrate, which has isolation structures formed therein. A buffer oxide layer is formed, followed by forming a patterned nitride layer and a patterned mask layer. The patterned nitride layer and the patterned mask layer defines a gate opening for forming a gate stack comprising a gate oxide layer, a doped polysilicon layer, a metal silicide layer, and a cap layer. Consequently, a source/drain region is formed through performing a LDD implantation, while a spacer is formed on a sidewall of the gate stack to complete the manufacture of a gate structure.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: September 18, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Jhy-Jyi Sze
  • Patent number: 6291859
    Abstract: A semiconductor integrated circuit comprises a substrate (1) of a first conduction type semiconductor material, an epitaxial layer (10) which is carried by the substrate (1) and which is of a second conduction type semiconductor material different to the first conduction type material, a well (3) of semiconductor material in the epitaxial layer and a semiconductor material, the epitaxial layer (10) being substantially depleted of charges is a region substantially beneath the well (3).
    Type: Grant
    Filed: August 20, 1999
    Date of Patent: September 18, 2001
    Assignee: Telefonaktiebolaget LM Ericsson
    Inventors: Andrej Litwin, Hans Norstrom
  • Patent number: 6281550
    Abstract: A transistor structure fabricated on thin SOI is disclosed. The transistor on thin SOI has gated n+ and p+ junctions, which serve as switches turning on and off GIDL current on the surface of the junction. GIDL current will flow into the floating body and clamp its potential and can thus serve as an output node. The transistor can function as an inverter. The body (either n-well or p-well) is isolated from the n+ or P+ “GIDL switches” by a region of opposite doping type, i.e., p-base and n-base. The basic building blocks of logic circuits, e.g., NAND and NOR gates, are easily implemented with such transistors on thin SOI wafers. These new transistors on thin SOI only need contacts and metal line connections on the Vcc and Vss. The connection of fan-outs (between the output and input) can be implemented by capacitor coupling. The transistor structure and operation is useful for highperformance, low-voltage, and low-power VLSI circuits on SOI wafers.
    Type: Grant
    Filed: April 8, 1999
    Date of Patent: August 28, 2001
    Assignee: Taiwan Semiconductor Manufacturing Corporation
    Inventor: Min-hwa Chi
  • Publication number: 20010016391
    Abstract: The present invention provides a process for fabricating merged integrated circuits on a semiconductor wafer substrate. The process comprises forming a gate oxide on the semiconductor wafer substrate, forming a first transistor having a first gate on the gate oxide, and forming a second transistor having a second gate on the same gate oxide. The first transistor is optimized to a first operating voltage by varying a physical property of the first gate, varying a first tub doping profile, or varying a first source/drain doping profile. The second transistor is optimized to a second operating voltage by varying a physical property of the second gate, varying a second tub doping profile, or varying a second source/drain doping profile of the second transistor. These physical characteristics may be changed in any combination or singly to achieve the determined optimization of the operating voltage of any given transistor.
    Type: Application
    Filed: February 20, 2001
    Publication date: August 23, 2001
    Inventors: William T. Cochran, Isik C. Kizilyalli, Morgan J. Thoma
  • Publication number: 20010014507
    Abstract: The present invention provides a method of forming a dual local oxidation structure (LOCOS) of a memory circuit in a semiconductor wafer. The semiconductor wafer comprises a silicon substrate and non-overlapping first and second areas defined on the surface of the silicon substrate. The first area is used for forming a memory array of the memory circuit and the second area is used for forming a peripheral circuit of the memory circuit for controlling the operation of the memory array. Using this method, a pad oxide layer and a silicon nitride layer are first formed on the silicon substrate. The silicon nitride layer has a plurality of recesses extending down to the surface of the silicon substrate. The widths of the recesses in the first area are narrower than the widths of the recesses in the second area. Then, a high temperature oxidation process is performed to form a field oxide layer on the surface of the silicon substrate within each of the recesses.
    Type: Application
    Filed: July 2, 1999
    Publication date: August 16, 2001
    Inventor: JAMES JUEN HSU
  • Publication number: 20010014506
    Abstract: A method for forming an element isolation film of a semiconductor device and the semiconductor device. A pad insulator is constructed on a semiconductor substrate. An over-etching process is performed to recess the semiconductor substrate to a predetermined depth while giving a pad insulator pattern. After an insulator spacer is formed at the side wall of the pad insulator pattern, the exposed region of the semiconductor substrate is thermally oxidized to grow an oxide which is, then, removed to form a recess. An element isolation film is formed in the recess by break-through field oxidation and high temperature field oxidation. The element isolation film thus obtained can prevent the field oxide “ungrowth” phenomenon and at the same time mitigate the field oxide thinning effect as well as improve the properties of the gate oxide.
    Type: Application
    Filed: April 17, 1998
    Publication date: August 16, 2001
    Inventors: SE AUG JANG, YOUNG BOG KIM, IN SEOK YEO, JONG CHOUL KIM
  • Patent number: 6214674
    Abstract: A method of fabricating a high-voltage device suitable for a low-voltage device. A well formed by ion implantation in the high-voltage device region serves as a drift region for fabricating the high-voltage device. Therefore, one mask is used to define a portion of the wells of the high-voltage device region and the wells of low-voltage device region. It is not necessary to use multiple masks to pattern the well of the low-voltage device region and the drift region of the high-voltage device region.
    Type: Grant
    Filed: December 2, 1998
    Date of Patent: April 10, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Tung
  • Patent number: 6214700
    Abstract: On the surface of a field oxide film (3 of FIG. 2e) formed on a substrate region where the effective thickness in the vertical direction of a substrate is diminished due to the presence of a crystal defect (2 of FIG. 1a), the field oxide film is etched by a predetermined thickness until a recess (4 of FIG. 2f) ascribable to the presence of the defect is exposed (step of FIG. 2f). A new oxide film then is formed in an amount corresponding to the above-mentioned thickness on the field oxide film (step of FIG. 3g) to diminish the depth of the recess ascribable to the presence of the defect. To provide a semiconductor device in which leakage between elements can be eliminated with a thin LOCOS oxide film thickness remaining unchanged.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: April 10, 2001
    Assignee: NEC Corporation
    Inventors: Takuo Ohashi, Tomohisa Kitano
  • Patent number: 6207484
    Abstract: A method for fabricating a BiCDMOS device where bipolar, CMOS and DMOS transistors are formed on a single wafer is provided. A semiconductor region of a second conductivity type is formed on a semiconductor substrate of a first conductivity type. Well regions of first and second conductivity types are formed within the semiconductor region. Then, an oxidation passivation layer pattern defining a region where a pad oxide layer and a field oxide layer are to be formed is formed on a surface of the substrate where the well regions have been formed. Impurity ions of the first conductivity type are implanted into the entire surface of a region where the field oxide layer is to be formed, using the oxidation passivation layer pattern as an ion implantation mask. An ion implantation mask pattern defining a field region of the second conductivity type is formed on the substrate where the oxidation passivation layer has been formed.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: March 27, 2001
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jong-Hwan Kim, Suk-Kyun Lee, Yong-Cheol Choi, Chul-Joong Kim
  • Patent number: 6184096
    Abstract: A semiconductor processing method of providing dopant impurity into a semiconductor substrate includes: a) providing a semiconductor substrate, the substrate comprising a first bulk region having a blanket doping of a first conductivity type dopant, the substrate comprising a second bulk region having a blanket doping of a second conductivity type dopant; b) defining field oxide regions and active area regions in each of the first and second bulk substrate regions; c) in the same masking step, masking active area regions of the first bulk substrate region while leaving field oxide regions of the first bulk substrate region unmasked and masking field oxide regions of the second bulk substrate region while leaving select active area regions of the second bulk substrate region unmasked; and d) in the same ion implanting step, ion implanting first conductivity type impurity through the unmasked portions of the first and second bulk substrate regions to simultaneously form channel stop isolation implants beneath t
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: February 6, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Roger R. Lee, Charles H. Dennison
  • Patent number: 6177322
    Abstract: A high voltage transistor exhibiting high gated diode breakdown voltage is formed while avoiding an excessive number of costly masking steps. Embodiments include providing a high gated diode breakdown voltage by masking the high voltage junctions from the conventional field implant, masking the source/drain regions from the conventional threshold adjust implant, providing a thick gate oxide layer, employing a very lightly doped n-type implant in lieu of conventional n+ and LDD implants, and forming contacts to the source and drain regions at a minimum distance from the gate.
    Type: Grant
    Filed: October 23, 1998
    Date of Patent: January 23, 2001
    Assignee: Advanced Mictro Devices, Inc.
    Inventors: Narbeh Derhacobian, Pau-Ling Chen, Hao Fang, Timothy Thurgate
  • Patent number: 6146944
    Abstract: A P-type dopant is implanted into a substrate region 94 under a select drain gate transistor field oxide region 75 at a large tilt angle .alpha., to prevent field turn-on under the select drain gate transistor field oxide region 75 in a non-volatile memory device such as a NAND flash memory device. A substrate region 114 under a select source gate transistor field oxide region 77 can also be implanted with a P-type dopant to prevent field turn-on under the region 77 if select source gates 90 and 92 are to be supplied with a voltage in operation rather than grounded. The substrate regions 94 and 114 under both the select drain gate transistor field oxide region 75 and the select source gate transistor field oxide region 77 can be implanted with the P-type dopant using a fixed-angle ion beam 120, by rotating the wafer 124 between the step of implanting one of the substrate regions and the step of implanting the other region.
    Type: Grant
    Filed: March 16, 1998
    Date of Patent: November 14, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Yue-Song He, Che-Hoo Ng, Pau-Ling Chen
  • Patent number: 6143612
    Abstract: A high voltage transistor exhibiting high gated diode breakdown voltage, low leakage and low body effect is forced while avoiding an excessive number of costly masking steps. Embodiments include providing a high gated diode breakdown voltage by masking the high voltage junctions from the conventional field implant, masking the source/drain regions from the conventional threshold adjust implant, and employing a very lightly doped n-type implant in lieu of conventional n+ and LDD implants. Appropriate openings are formed in the field implant blocking mask so that the field implant occurs at the edges of the junctions, thus achieving low leakage. The field implant blocking mask is extended over the channel area, thereby producing a transistor with low body effect.
    Type: Grant
    Filed: October 14, 1998
    Date of Patent: November 7, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Narbeh Derhacobian, Pau-ling Chen, Hao Fang, Timothy Thurgate
  • Patent number: 6124159
    Abstract: A method for integrating a high-voltage device and a low-voltage device. A substrate has a high-voltage device region, a low-voltage device region and a scribe region, wherein a patterned insulating layer is formed on the substrate in the high-voltage device region and the scribe region. A grade region is formed in the substrate exposed by the patterned insulating layer in the high-voltage device region. A plurality of protuberances is formed on the substrate exposed by the patterned insulating layer in the high-voltage device region and in the scribe region. The patterned insulating layer and the protuberances are removed to form recesses at locations of the protuberances. A first gate structure and a second gate structure are respectively formed on the substrate between the grade region in the high-voltage device region and on the substrate in the low-voltage device region while using the recesses as alignment marks.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: September 26, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Tung-Yuan Chu
  • Patent number: 6107145
    Abstract: A method for forming a field effect transistor on a substrate includes providing a wordline on the substrate; providing composite masking spacers laterally outward relative to the wordline, the composite masking spacers comprising at least two different materials; removing at least one of the materials of the composite masking spacers to effectively expose the substrate area adjacent to the wordline for conductivity enhancing doping; and subjecting the effectively exposed substrate to conductivity enhancing doping to form source/drain regions.
    Type: Grant
    Filed: May 15, 1998
    Date of Patent: August 22, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Charles H. Dennison, Aftab Ahmad
  • Patent number: 6103588
    Abstract: The present invention includes forming a first conductive layer on a semiconductor substrate, and forming a first dielectric layer on the first conductive layer. After patterning to etch the first dielectric layer and the first conductive layer, a second dielectric layer is formed on the semiconductor substrate and the first dielectric layer. Next, the second dielectric layer is anisotropically etched back to form a spacer on sidewalls of the first dielectric layer and the first conductive layer. A first silicon oxide layer is then formed over the semiconductor substrate, the first dielectric layer, and the spacer, followed by forming a photoresist layer on the first silicon oxide layer. A predetermined thickness of the first silicon oxide layer is removed by using the photoresist layer as a mask, and a polymer layer is then formed on the photoresist layer and the first silicon oxide layer.
    Type: Grant
    Filed: July 24, 1998
    Date of Patent: August 15, 2000
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Erik S. Jeng, Bi-Ling Chen, Hao-Chieh Liu
  • Patent number: 6096589
    Abstract: CMOS devices and process for fabricating low voltage, high voltage, or both low voltage and high voltage CMOS devices are disclosed. According to the process, p-channel stops and source/drain regions of PMOS devices are implanted into a substrate in a single step. Further, gates for both NMOS and PMOS devices are doped with n-type dopant and NMOS gates are self-aligned.
    Type: Grant
    Filed: February 12, 1998
    Date of Patent: August 1, 2000
    Assignee: Micron Technology, Inc.
    Inventors: John K. Lee, Behnam Moradi, Michael J. Westphal
  • Patent number: 6096612
    Abstract: A structure and a method for fabricating integrated circuits are disclosed in which narrow trench isolation structures (36) are formed between active regions (38) of an integrated circuit (10). A silicon nitride layer is deposited, patterned and etched to provide nitride mask (16) having spaces (20) which are preferably no larger than the minimum photolithography spacing limits. Single sidewall oxide spacers (24) are formed on the sidewalls of the nitride mask by depositing a conformal coating of oxide and then applying an anisotropic etch, leaving the oxide spacers (24) of approximately 100 to 500 Angstroms thickness on the sidewalls of the nitride mask (16). Isolation trenches (26) are etched into a silicon substrate (12) in the spaces between adjacent ones of the oxide spacers (24). The oxide spacers (24) are then removed without removing the nitride mask (16), leaving ledges, or shelf regions (28), of the substrate (12) in the spaces between the trenches (26) and the nitride mask (16).
    Type: Grant
    Filed: April 30, 1998
    Date of Patent: August 1, 2000
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 6060372
    Abstract: A semiconductor device (10) of the present invention has a gate (32) insulatively disposed above the substrate, source and drain regions (36, 38) disposed near the surface in the substrate adjacent opposite sides of the gate (32), and a field oxide region (26) disposed in the surface of the substrate surrounding the source and drain regions (36, 38) and defining an active moat region (20). The channel stop region (24) is disposed below the field oxide region (26) and is spaced from the active moat region (20) with a predetermined spacing.
    Type: Grant
    Filed: March 21, 1997
    Date of Patent: May 9, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Michael C. Smayling, Alister C. Young, John A. Rodriguez, Jihong Chen
  • Patent number: 6057197
    Abstract: A semiconductor integrated circuit such as a flash memory device with a novel isolation structure. Field isolation (130) is defined on a substrate (10). A spacer (107) is formed at the edges of the field isolation to protect the field isolation from oxide loss during subsequent processing steps, such as HF dips to remove polysilicon or polymer stringers that are often a part of a flash EEPROM process, for example.
    Type: Grant
    Filed: May 20, 1998
    Date of Patent: May 2, 2000
    Assignee: Mosel Vitelic, Inc.
    Inventor: Kuo-Tung Sung
  • Patent number: 6037229
    Abstract: A method for fabricating a high-voltage device substrate comprising the steps of forming a pad oxide layer and a mask layer over a substrate. Then, the pad oxide layer and the mask layer are patterned to define a region for a first ion implantation. Next, the exposed substrate is oxidized to form a field oxide layer. Thereafter, the mask layer is removed followed by a first ion implantation. Next, a portion of the field oxide layer is removed, and then a second ion implantation is performed implanting ions into the exposed substrate. Then, a conformal oxide layer is formed over the substrate surface. Next, a high temperature drive-in and oxidation operation is carried out, in which ions in the first ion implanted region and the second ion implanted region are driven deeper into the substrate interior, and at the same time the substrate above those regions are oxidized. Finally, the oxide layer on the substrate surface is removed, and then an epitaxial layer is formed over the substrate.
    Type: Grant
    Filed: June 24, 1998
    Date of Patent: March 14, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Jia-Sheng Lee
  • Patent number: 6033960
    Abstract: A P-channel MOS device having an elevated breakdown voltage is created without increasing device size or requiring additional fabrication steps. During the P-field implant step, P type dopant is implanted into regions of the silicon expected to lie along the silicon-silicon dioxide interface after silicon dioxide growth.
    Type: Grant
    Filed: January 13, 1998
    Date of Patent: March 7, 2000
    Assignee: National Semiconductor Corporation
    Inventor: Etan Shacham
  • Patent number: 6027963
    Abstract: A method for making self-aligned sub-micrometer bipolar transistors and FETs on a substrate for BiFET and BiCMOS circuits was achieved using a novel LOCOS structure as a self-aligned implant mask. This LOCOS structure uses a silicon nitride mask comprised of stripes with well defined widths and spacings to form a punchthrough oxide mask of varying thicknesses over the emitter, base, and collector of the bipolar transistor, while providing a thick field oxide elsewhere on the substrate. The oxide mask serves as a self-aligned implant mask for implanting the emitter, base, and collector of the bipolar transistor. The nitride mask can be patterned concurrently to form an implant mask for the FET. A series of ion implants is then used to form the emitter, base, and collector without requiring separate photoresist masks. An array of nitride stripes with well defined widths and spacings can be used to make larger transistors, such as bipolar power transistors.
    Type: Grant
    Filed: October 5, 1998
    Date of Patent: February 22, 2000
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventor: Igor V. Peidous
  • Patent number: 6020244
    Abstract: An improved well boosting implant which provides better characteristics than traditional halo implants particularly for short channel devices (e.g., 0.25 microns or less). In effect, an implant is distributed across the entire channel with higher concentrations occurring in the center of the channel of the devices having gate lengths less than the critical dimension. This is done by using very large tilt angles (e.g., 30-50.degree.) with a relatively light dopant species and by using a relatively high energy when compared to the traditional halo implants.
    Type: Grant
    Filed: December 30, 1996
    Date of Patent: February 1, 2000
    Assignee: Intel Corporation
    Inventors: Scott E. Thompson, Paul A. Packan, Tahir Ghani, Mark Stettler, Shahriar S. Ahmed, Mark T. Bohr
  • Patent number: RE37158
    Abstract: Implantation of germanium (45) into a PMOS buried channel to permits the enhancement implant profile (to 45) to be made more shallow. The shallow profile will reduce or eventually solve P-channel buried channel-induced short channel effects and enable further decrease in device length to deep submicron range. Benefits include better short channel characteristics, i.e., higher punch through voltage BVDSS, less VT sensitivity to the drain voltage (defined as curl) and better subthreshold leakage characteristics.
    Type: Grant
    Filed: November 30, 1995
    Date of Patent: May 1, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Roger Ruojia Lee