Including Selectively Removing Material To Undercut And Expose Storage Node Layer Patents (Class 438/397)
  • Patent number: 6911372
    Abstract: A storage capacitor has a double cylinder type structure, with a small cylinder in a lower part thereof and a cylindrical lower electrode structure disposed on the cylindrical contact plug. A method of fabricating the storage capacitor includes: forming a contact hole for exposing an activation region of a transistor; depositing a conductive film to form within the contact hole a contact plug of the storage capacitor having a void therein; opening an upper part of the void of the contact plug; and covering a surface of the device with material to form the storage capacitor electrode, to obtain the storage capacitor electrode having a double cylindrical structure.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Wook-Sung Son
  • Patent number: 6911362
    Abstract: Methods for forming an electronic device can include forming a capacitor structure on a portion of a substrate with the capacitor structure including a first electrode on the substrate, a capacitor dielectric on the first electrode, a second electrode on the dielectric, and a hard mask on the second electrode. More particularly, the capacitor dielectric can be between the first and second electrodes, the first electrode and the capacitor dielectric can be between the second electrode and the substrate, and the first and second electrodes and the capacitor dielectric can be between the hard mask and the substrate. An interlayer dielectric layer can be formed on the hard mask and on portions of the substrate surrounding the capacitor structure, and portions of the interlayer dielectric layer can be removed to expose the hard mask while maintaining portions of the interlayer dielectric layer on portions of the substrate surrounding the capacitor structure.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Nam Kim, Yoon-Jong Song, Heung-Jin Joo
  • Patent number: 6890817
    Abstract: A semiconductor device and a method of manufacturing thereof can be gained wherein the occurrence of defects can be prevented and it is possible to reduce the manufacturing cost. The semiconductor device includes a capacitor electrode, an insulating layer and a wiring layer. The capacitor electrode is formed on the semiconductor substrate. The insulating film which is formed on the capacitor electrode has a trench which exposes part of the capacitor electrode and has an upper surface. The wiring layer fills in the inside of the trench, has an upper surface and is connected with the capacitor electrode. The upper surface of the wiring layer is located on approximately the same plane as the upper surface of the insulating film.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: May 10, 2005
    Assignees: Renesas Technology Corp., Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroshi Maeda, Toshiyuki Oashi, Takashi Uehara
  • Patent number: 6890841
    Abstract: An integrated circuit memory device is formed by forming an interlevel insulating layer on a substrate. A plurality of storage node contact holes are formed in the interlayer insulating layer and are arranged in a pattern. A plurality of contact plugs are formed in the plurality of storage node contact holes, respectively. A material layer is formed on the interlevel insulating layer that has a plurality of landing pad holes that expose the plurality of contact plugs, respectively, the plurality of landing pad holes are arranged in a pattern that is offset with respect to the pattern of the storage node contact holes. A plurality of landing pads are formed in the plurality of landing pad holes and are connected to the plurality of contact plugs, respectively. A plurality of storage nodes are formed that are connected to the plurality of landing pads, respectively.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: May 10, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-hyun Lee, Tae-young Chung, Chang-hyun Cho, Yang-keun Park, Sang-bum Kim
  • Patent number: 6884687
    Abstract: In one aspect, the invention provides a method of forming an electrical connection in an integrated circuitry device. According to one preferred implementation, a diffusion region is formed in semiconductive material. A conductive line is formed which is laterally spaced from the diffusion region. The conductive line is preferably formed relative to and within isolation oxide which separates substrate active areas. The conductive line is subsequently interconnected with the diffusion region. According to another preferred implementation, an oxide isolation grid is formed within semiconductive material. Conductive material is formed within the oxide isolation grid to form a conductive grid therein. Selected portions of the conductive grid are then removed to define interconnect lines within the oxide isolation grid. According to another preferred implementation, a plurality of oxide isolation regions are formed over a semiconductive substrate.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: April 26, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Wendell P. Noble
  • Patent number: 6881643
    Abstract: In a semiconductor device producing method, a plug is formed within a contact hole formed in a barrier film and an interlayer insulating film on a semiconductor substrate. Then, an insulation film is formed on the plug and barrier film, and a hole is made in the insulation film such that an upper surface of the plug is exposed. A first conductive film is formed on the insulation film so as to fill the hole, and then etched by a CMP method to form a lower electrode within the hole. The insulation film is removed and the lower electrode is left in a protuberant manner. A dielectric film made of a ferroelectric or high-dielectric-constant substance and a second conductive film are sequentially formed over the lower electrode and the barrier film, and then patterned simultaneously to thereby form a capacitor dielectric film and an upper electrode.
    Type: Grant
    Filed: April 16, 2001
    Date of Patent: April 19, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Shigeo Onishi
  • Patent number: 6878587
    Abstract: An intermediate metal plug is used to raise the platform to which contact is to be made. In the illustrated process, a partial bit line plug is formed adjacent a stacked capacitor, and an interlevel dielectric formed over the capacitor. The bit line contact is completed by extending a via from the bit line, formed above the interlevel dielectric, down to the level of the intermediate plug, and the via is filled with metal. The height of the via to be filled is thus reduced by the height of the intermediate plug. In one embodiment, the intermediate plug is slightly shorter than an adjacent container-shaped capacitor. In another embodiment, the intermediate plug is about as high as an adjacent stud capacitor.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: April 12, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Scott J. Deboer, Vishnu K. Agarwal
  • Patent number: 6864138
    Abstract: The invention encompasses DRAM constructions, capacitor constructions, integrated circuitry, and methods of forming DRAM constructions, integrated circuitry and capacitor constructions. The invention encompasses a method of forming a capacitor wherein: a) a first layer is formed; b) a semiconductive material masking layer is formed over the first layer; c) an opening is etched through the masking layer and first layer to a node; d) a storage node layer is formed within the opening and in electrical connection with the masking layer; e) a capacitor storage node is formed from the masking layer and the storage node layer; and f) a capacitor dielectric layer and outer capacitor plate are formed operatively proximate the capacitor storage node.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: March 8, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, John K. Zahurak
  • Patent number: 6861311
    Abstract: In one aspect, the invention provides a method of forming an electrical connection in an integrated circuitry device. According to one preferred implementation, a diffusion region is formed in semiconductive material. A conductive line is formed which is laterally spaced from the diffusion region. The conductive line is preferably formed relative to and within isolation oxide which separates substrate active areas. The conductive line is subsequently interconnected with the diffusion region. According to another preferred implementation, an oxide isolation grid is formed within semiconductive material. Conductive material is formed within the oxide isolation grid to form a conductive grid therein. Selected portions of the conductive grid are then removed to define interconnect lines within the oxide isolation grid. According to another preferred implementation, a plurality of oxide isolation regions are formed over a semiconductive substrate.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Wendell P. Noble
  • Patent number: 6856501
    Abstract: A capacitor has a couple of electrodes with a dielectric placed therebetween. At least one of the electrodes is made of copper, and barriers for preventing the diffusion of copper into the dielectric are provided between the dielectric and the copper electrode, respectively.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: February 15, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hideaki Matsuhashi
  • Patent number: 6838337
    Abstract: A method and apparatus are described which provide a memory device with sense amplifiers extending in a first direction and corresponding digit lines extending in a second direction perpendicular to the first direction. A pair of complementary digit lines may originate from different memory sub-arrays. The arrangement is particular useful for memory arrays having 6F**2 feature size.
    Type: Grant
    Filed: October 10, 2002
    Date of Patent: January 4, 2005
    Assignee: Micron Technology, Inc.
    Inventor: John Schreck
  • Publication number: 20040266102
    Abstract: A method for manufacturing a capacitor bottom electrode by using low k dielectric material as a sacrificial layer is employed to simplify manufacturing steps and prevent electrical shortage phenomenon of bottom electrodes.
    Type: Application
    Filed: November 20, 2003
    Publication date: December 30, 2004
    Inventor: Il-Young Kwon
  • Patent number: 6835575
    Abstract: A process of fabricating a molecular electronic device that preserves the integrity of the active molecular layer of the electronic device during processing is described. In one aspect, a passivation layer is provided to protect a molecular layer from degradation during patterning of the top wire layer. A molecular electronic device structure and a memory system that are formed from this fabrication process are described.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: December 28, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Yong Chen
  • Publication number: 20040248372
    Abstract: A metal-insulator-metal (MIM) capacitor is made according to a copper dual-damascene process. A first copper or copper alloy metal layer if formed on a substrate. A portion of the first metal layer is utilized as the lower plate of the MIM capacitor. An etch stop dielectric layer is used during etching of subsequent layers. A portion of an etch stop layer is not removed and is utilized as the insulator for the MIM capacitor. A second copper or copper alloy metal layer is later formed on the substrate. A portion of the second metal layer is utilized as the upper plate of the MIM capacitor.
    Type: Application
    Filed: January 5, 2004
    Publication date: December 9, 2004
    Applicant: Broadcom Corporation
    Inventor: Liming Tsau
  • Publication number: 20040248361
    Abstract: Methods of forming metal-insulator-metal type capacitors in integrated circuit memory devices can include crystallizing an HfO2 dielectric layer on a lower electrode of a capacitor structure in a low temperature plasma treatment at a temperature in range between about 250 degrees Centigrade and about 450 degrees Centigrade. An upper electrode can be formed on the HfO2 dielectric layer.
    Type: Application
    Filed: April 22, 2004
    Publication date: December 9, 2004
    Inventors: Se-hoon Oh, Jung-hee Chung, Jae-hyoung Choi, Jeong-sik Choi, Sung-tae Kim, Cha-young Yoo
  • Publication number: 20040214402
    Abstract: Disclosed is a method for fabricating a capacitor of a semiconductor device.
    Type: Application
    Filed: December 17, 2003
    Publication date: October 28, 2004
    Inventor: Won Sun Seo
  • Patent number: 6806139
    Abstract: A method of fabricating a metal-insulator-metal (MIM) capacitor of a semiconductor device having an upper and lower electrode formed of metal is provided. Portions of a conductive layer for a lower electrode on inner walls of holes are not removed. Portions of the conductive layer for a lower electrode outside the holes are selectively etched back and node-separated.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: October 19, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Wan-don Kim, Jae-hyun Joo, Cha-young Yoo
  • Patent number: 6806188
    Abstract: A semiconductor device capable of preventing a ring defect and a method of manufacturing the same are provided. The semiconductor device includes a semiconductor substrate having a junction region, a planarization layer having a first contact hole portion through which the junction region is exposed, an interlayer dielectric layer formed on the planarization layer and having a second contact hole portion extended from the first contact hole portion, and contact spacers formed at the sidewalls of the first and second contact hole portions. Here, the contact spacers are formed to cover the interface between the planarization layer and the interlayer dielectric layer and the interface between the planarization layer and the semiconductor substrate.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: October 19, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Si Youn Kim
  • Patent number: 6794245
    Abstract: The invention provides robust and cost effective techniques to fabricate double-sided HSG electrodes for container capacitors. In one embodiment, this is accomplished by forming a layer of hemispherical silicon grain (HSG) polysilicon over interior surfaces of a container formed in a substrate. A barrier layer is then formed over the formed HSG polysilicon layer. Any HSG polysilicon and barrier layers formed over the substrate and around the container opening during the forming of the HSG polysilicon and barrier layers is then removed. A portion of outside surfaces of the formed HSG polysilicon is then exposed by removing the substrate, while the barrier layer is still on the interior surface of the container to prevent formation of sink holes and to prevent stringer problems during removal of the substrate. The barrier layer is then removed to expose the interior surfaces of the HSG polysilicon to form the double-sided HSG electrode.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: September 21, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Lingyi A. Zheng
  • Patent number: 6790717
    Abstract: In order to fabricate a semiconductor component having a contact electrode that is T-shaped in cross section, in particular a field-effect transistor with a T gate, a method is described in which a self-aligning positioning of gate base and gate head is effected by means of a spacer produced on a material edge.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: September 14, 2004
    Assignee: United Monolithic Semiconductors GmbH
    Inventor: Dag Behammer
  • Publication number: 20040175884
    Abstract: A method for fabricating a capacitor in a semiconductor device is disclosed.
    Type: Application
    Filed: December 5, 2003
    Publication date: September 9, 2004
    Inventors: Jae Il Kang, Sang Cheol Kim
  • Patent number: 6784068
    Abstract: A capacitor is fabricated over a first layer having a first conductive plug formed on a substrate in a semiconductor memory. On the first layer, a silicon nitride film, a first capacitor oxide film, and a second oxide film are sequentially formed. The first and the second oxide films have different wet etch rates. Dry and wet etchings are sequentially performed to the first and second oxide films to form a second contact hole. The second contact hole is then etched. Thereafter, a silicon film and a filler film are sequentially formed on the resultant surface of the structure. A cylindrical storage node electrode is then formed by etching a predetermined portion of the filler film and the silicon film. After removing the remaining filler film and the oxide films, a Ta2O5 dielectric film covering the storage node electrode and a TiN film for an upper electrode are then sequentially formed.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: August 31, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kee Jeung Lee, Hai Won Kim
  • Patent number: 6780726
    Abstract: In capacitive sensor circuits where physical contact is required and excess pressure may be inadvertently applied to the sensor surface, aluminum is not sufficiently hard to provide “scratch” protection and may delaminate, causing circuit failure, even if passivation integrity remains intact. Because hard passivation layers alone provide insufficient scratch resistance, at least the capacitive electrodes and preferably all metallization levels within the sensor circuit in the region of the capacitive electrodes between the surface and the active regions of the substrate are formed of a conductive material having a hardness greater than that of aluminum. The selected conductive material preferably has a hardness which is at least as great as the lowest hardness for any interlevel dielectric or passivation material employed.
    Type: Grant
    Filed: October 3, 2001
    Date of Patent: August 24, 2004
    Assignee: STMicroelectronics, Inc.
    Inventor: Danielle A. Thomas
  • Patent number: 6777305
    Abstract: A method for fabricating a semiconductor device is disclosed. A spacer is formed on the sidewall of the contact hole in which a storage node contact plug is buried. An etch barrier film and an insulating film are sequentially formed after the formation of the storage node contact plug. The insulating film and the etch barrier film are sequentially etched to form an opening part. Then a storage node is formed within the opening part which has been formed by an etching. Then prominences are formed on the surface of the storage node.
    Type: Grant
    Filed: September 11, 2002
    Date of Patent: August 17, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kee-Jeung Lee, Byung-Seop Hong
  • Patent number: 6777289
    Abstract: Methods of forming electrical connections with an integrated circuitry substrate node location are described. According to one aspect of the invention, a substrate node location is laterally surrounded with insulating material and left outwardly exposed. Conductive material is deposited over the exposed node location. Subsequently, a photomaskless etch of the conductive material is conducted to a degree sufficient to leave a plug of conductive material over the node location. In a preferred implementation, the insulating material with which such node location is surrounded constitutes insulating material portions which are provided relative to conductive lines which are formed over the substrate. In another preferred implementation, such conductive lines form a grid of insulating material which, in turn, defines the node location.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: August 17, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6764915
    Abstract: A metal-insulator metal (MIM) capacitor structure has a copper layer within a dielectric layer positioned on a substrate, an alloy layer atop the copper layer, a metal oxide layer atop the alloy layer and a top pad layer atop the metal oxide layer.
    Type: Grant
    Filed: November 28, 2002
    Date of Patent: July 20, 2004
    Assignee: United Microelectronics Corp.
    Inventor: Chiu-Te Lee
  • Patent number: 6764896
    Abstract: Sputter etching of silicon oxide films is performed with an etching gas such as C4F8. Since a silicon nitride film is little etched at this time, when the etching is performed under a condition of sufficient overetching for the silicon oxide films, the silicon nitride film serves as an etching stopper, and the silicon oxide film on a platinum film and the silicon oxide film other than a portion below the platinum film are completely removed and the silicon oxide film remains only below the platinum film, to form a protrusion of a layer consisting of the silicon oxide film and the platinum film from a surface of the silicon nitride film. Thus, in patterning, a capacitor lower electrode by chemical etching, a nonuniform etching caused by temperature distribution on a substrate or among a plurality of substrates can be solved.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: July 20, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Tomonori Okudaira
  • Patent number: 6762445
    Abstract: In a DRAM memory cell that is a semiconductor memory device, a bit line connected to a bit line plug and local interconnect are provided on a first interlayer insulating film. A contact is not provided on a Pt film constituting an upper electrode, and a dummy lower electrode is in direct contact with a dummy barrier metal. That is, the upper electrode is connected to upper layer interconnect (Cu interconnect) by the dummy lower electrode, a dummy cell plug and the local interconnect. Since the Pt film is not exposed to a reducing atmosphere, deterioration of characteristics of a capacitive insulating film can be prevented.
    Type: Grant
    Filed: July 17, 2002
    Date of Patent: July 13, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hisashi Ogawa, Yoshihiro Mori, Akihiko Tsuzumitani
  • Patent number: 6759304
    Abstract: The invention relates to a DRAM integration method that does away with the alignment margins inherent to the photoetching step of the upper electrode of the capacitance for inserting the bit line contact. The removal of the upper electrode is self-aligned on the lower electrode of the capacitance. This is accomplished by forming a difference in topography at the point where the opening of the upper electrode is to be made, and depositing a non-doped polysilicon layer on the upper electrode. An implantation of dopants is performed on this layer, and the part of the non-doped layer located in the lower part of the zone showing the difference in topography is selectively etched. The remainder of the polysilicon layer and the part of the upper electrode located in the lower layer are also etched.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: July 6, 2004
    Assignee: STMicroelectronics SA
    Inventors: Philippe Coronel, Marc Piazza, François Leverd
  • Patent number: 6750099
    Abstract: A method for fabricating a capacitor of a semiconductor device is disclosed, in which it is possible to obtain reliability in an etch process, and to simplify manufacturing process steps.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: June 15, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Gyu Park
  • Patent number: 6734079
    Abstract: Within a method for fabricating a microelectronic fabrication, and the microelectronic fabrication fabricated employing the method, there is formed within the microelectronic fabrication a capacitor structure which comprises a first capacitor plate layer having formed thereupon a capacitor dielectric layer in turn having formed thereupon a second capacitor plate layer, wherein each of the foregoing layers having an exposed sidewall to thus form a series of exposed sidewalls. The capacitor structure also comprises a silicon oxide dielectric layer formed passivating the series of exposed sidewalls of the first capacitor plate layer, the capacitor dielectric layer and the second capacitor plate layer a silicon oxide dielectric layer.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: May 11, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Chi-Feng Huang, Shyh-Chyi Wang, Chih-Hsien Lin, Chun-Hon Chen, Tien-I Bao, Syun-Ming Jang
  • Patent number: 6734077
    Abstract: A method for fabricating a trench capacitor for a semiconductor memory includes forming a masking layer in a trench that is disposed in a substrate. Nanocrystallites, which are used to pattern the masking layer, are deposited on the masking layer. Microtrenches are etched into the substrate in a lower region of the trench by the patterned masking layer. The microtrenches form a roughened trench sidewall. As a result, the outer capacitor electrode is formed with a larger surface area, allowing the trench capacitor to have a higher capacitance.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: May 11, 2004
    Assignee: Infineon Technologies AG
    Inventors: Matthias Förster, Kristin Schupke, Anja Morgenschweis, Anett Moll, Jens-Uwe Sachse
  • Publication number: 20040087083
    Abstract: Disclosed is a method of forming a capacitor in a semiconductor device.
    Type: Application
    Filed: July 2, 2003
    Publication date: May 6, 2004
    Inventor: Jae Han Cha
  • Patent number: 6730574
    Abstract: The semiconductor device includes a MOSFET including a pair of impurity diffused regions formed on both sides of a gate formed on a semiconductor substrate; an insulation film covering a top of the MOSFET and having a through-hole opened on one of the impurity diffused regions formed in; and a capacitor formed at at least a part of an inside of the through-hole, the through-hole having a larger diameter inside than at a surface thereof or having a larger diameter at an intermediate part between the surface thereof and a bottom thereof than the surface and the bottom thereof.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: May 4, 2004
    Assignee: Fujitsu Limited
    Inventors: Taiji Ema, Tohru Anezaki, Junichi Mitani
  • Patent number: 6730563
    Abstract: A rough polysilicon film located on the upper surface of an interlayer film is removed by a CMP process, so that storage nodes and an embedded TEOS film are formed. The embedded TEOS film is removed concurrently with the interlayer film located in a memory cell region by etching. An opening end of a groove, the upper surface of the embedded TEOS film and the upper surface of the interlayer film are arranged on substantially the same plane. In the memory cell region and a peripheral circuit region, a substantially flat interlayer insulation film is obtained. This solves the problems of a step, falling and the like in a semiconductor device including a capacitor element.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: May 4, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Akira Matsumura
  • Patent number: 6709919
    Abstract: Novel capacitor top electrodes auto-self-aligned to bit-line regions is achieved with improved process yields. A first insulating layer is formed over the FETs, and a second insulating layer is deposited. Openings are etched for capacitors, and a novel photomask and etching are used to recess the second insulator. A first conducting layer is deposited for bottom electrodes, and a second photoresist is used to remove the first conducting layer on the top surfaces of the second insulating layer. A thin dielectric layer is deposited, and a second conducting layer is deposited, and polished back to form novel auto-self-aligned top electrodes to the second insulating layer for bit-line contact openings. This increases overlay margins, and the recessing of the second insulating layer in the first openings prevents polish-back damage to the bottom electrodes.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: March 23, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Kuo-Chi Tu
  • Patent number: 6696336
    Abstract: A method used during the formation of a semiconductor device comprises providing a wafer substrate assembly comprising a plurality of digit line plug contact pads and capacitor storage cell contact pads which contact a semiconductor wafer. A dielectric layer is provided over the wafer substrate assembly and etched to expose the digit line plug contact pads, and a liner is provided in the opening. A portion of the digit line plug is formed, then the dielectric layer is etched again to expose the capacitor storage cell contact pads. A capacitor bottom plate is formed to contact the storage cell contact pads, then the dielectric layer is etched a third time using the liner and the bottom plate as an etch stop layer. A capacitor cell dielectric layer and capacitor top plate is formed which provides a double-sided container cell. An additional dielectric layer is formed, then the additional dielectric layer, cell top plate, and the cell dielectric are etched to expose the digit line plug portion.
    Type: Grant
    Filed: May 14, 2001
    Date of Patent: February 24, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Scott J. DeBoer, Ronald A. Weimer, John T. Moore
  • Patent number: 6693017
    Abstract: A MIM capacitor includes a bottom plate, a capacitor dielectric disposed over the bottom plate, and a top plate disposed over the capacitor dielectric. An etch stop material is disposed over the top plate, and the top plate has a width that is less than the width of the etch stop material width. The top plate edges may be pulled back during the removal of the resist used to pattern the top plate, by the addition of chemistries in the resist etch that are adapted to pull-back or undercut the top plate edges beneath the etch stop material.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: February 17, 2004
    Assignees: Infineon Technologies AG, International Business Machines Corp.
    Inventors: Mohammed Fazil Fayaz, Haining Yang, Uwe Kerst, Joseph J. Mezzapelle
  • Patent number: 6689657
    Abstract: A method of forming a capacitor. The method includes forming a substrate assembly having an interconnect recessed therein, and forming a first electrode on the interconnect. The first electrode includes a material selected from the group consisting of transition metals, conductive oxides, alloys thereof, and combinations thereof. The method further includes forming a second electrode, and forming a dielectric between the first and second electrodes.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: February 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: F. Daniel Gealy, Thomas M. Graettinger
  • Patent number: 6686240
    Abstract: A semiconductor memory device and fabricating method thereof, wherein the semiconductor memory device includes first and second conductive regions formed in parallel at predetermined regions of a semiconductor substrate, a storage node and a multiple tunnel junction layer pattern sequentially stacked on a channel region between the first and second conductive regions, a data line stacked on the multiple tunnel junction layer pattern, and a wordline covering both sidewalls of the storage node and of the multiple tunnel junction layer pattern, wherein both sidewalls of the storage node have undercut regions for increasing the overlapping area of the storage node and a wordline. The storage node is formed by alternately and repeatedly stacking first and second conductive layers having different etch rates, successively patterning the conductive layers to form a storage node pattern, and selectively and isotropically etching the first or second conductive layer of the storage node pattern.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: February 3, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Hye Yi, Woo-Sik Kim
  • Publication number: 20040018679
    Abstract: A storage electrode has a truncated-conical “pipe-shaped” top section having a small inner diameter, mounted on a cylindrical base section having a large inner diameter. To fabricate the storage electrode, a buried contact plug is formed on a first insulating layer on a wafer, and an etching stop layer and a second insulating layer are formed on the first insulating layer. A third insulating layer is formed on the second insulating layer after implanting impurities into the second insulating layer. An opening is formed by anisotropically etching the third insulating layer and the second insulating layer using a photoresist pattern as an etching mask. A cleaning process is carried out such that the second insulating layer exposed through the opening is isotropically etched. After depositing polysilicon along a profile of the second and third insulating layers to a uniform thickness, the remaining third and second insulating layers are removed.
    Type: Application
    Filed: April 18, 2003
    Publication date: January 29, 2004
    Inventors: Young Sub Yu, Seok Sik Kim, Ki Hyun Hwang, Han Jin Lim, Sung Je Choi
  • Patent number: 6682984
    Abstract: The present invention is directed to fabrication of a capacitor formed with a substantially concave shape and having optional folded or convoluted surfaces. The concave shape optimizes surface area within a small volume and thereby enables the capacitor to hold a significant charge so as to assist in increased miniaturization efforts in the microelectronic field. The capacitor is fabricated in microelectronic fashion consistent with a dense DRAM array. Methods of fabrication include stack building with storage nodes that extend above a semiconductor substrate surface.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: January 27, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Zhiqiang Wu, Kunal Parekh, Li Li
  • Patent number: 6670238
    Abstract: An intermediate metal plug is used to raise the platform to which contact is to be made. In the illustrated process, a partial bit line plug is formed adjacent a stacked capacitor, and an interlevel dielectric formed over the capacitor. The bit line contact is completed by extending a via from the bit line, formed above the interlevel dielectric, down to the level of the intermediate plug, and the via is filled with metal. The height of the via to be filled is thus reduced by the height of the intermediate plug. In one embodiment, the intermediate plug is slightly shorter than an adjacent container-shaped capacitor. In another embodiment, the intermediate plug is about as high as an adjacent stud capacitor.
    Type: Grant
    Filed: November 28, 2001
    Date of Patent: December 30, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Scott J. Deboer, Vishnu K. Agarwal
  • Patent number: 6670256
    Abstract: Capacitor structures for use in integrated circuits and methods of their manufacture. The capacitor structures include a bottom electrode, a top electrode and a dielectric layer interposed between the bottom electrode and the top electrode. The capacitor structures further include a metal oxynitride barrier layer interposed between the dielectric layer and at least one of the bottom and top electrodes. Each metal oxynitride barrier layer acts to reduce undesirable oxidation of its associated electrode. Each metal oxynitride barrier layer can further aid in the repairing of oxygen vacancies in a metal oxide dielectric. The capacitors are suited for use as memory cells and apparatus incorporating such memory cells, as well as other integrated circuits.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: December 30, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Sam Yang, Vishnu K. Agarwal
  • Patent number: 6670251
    Abstract: An implantation step of a dopant ion for forming source and drain regions (S and D) is divided into one implantation of a dopant ion for forming a p/n junction with a well region (3), and one implantation of a dopant ion that does not influence a position of the p/n junction between the source and drain regions (S and D) and the well region with a shallow implantation depth and a large implantation amount. After conducting an activation heat treatment of the dopant, a surface of the source/drain region is made into cobalt silicide 12, so that the source/drain region (S and D) can have a low resistance, and a p/n junction leakage can be reduced.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: December 30, 2003
    Assignee: Renesas Technology Corporation
    Inventors: Shinichi Fukada, Naotaka Hashimoto, Masanori Kojima, Hiroshi Momiji, Hiromi Abe, Masayuki Suzuki
  • Patent number: 6653199
    Abstract: A container capacitor and method of forming the container capacitor are provided. The container capacitor comprises a lower electrode fabricated by forming a layer of doped polysilicon within a container in an insulative layer disposed on a substrate; forming a barrier layer over the polysilicon layer within the container; removing the insulative layer to expose the polysilicon layer outside the container; nitridizing the exposed polysilicon layer at a low temperature, preferably by remote plasma nitridation; removing the barrier layer to expose the inner surface of the polysilicon layer within the container; and forming HSG polysilicon over the inner surface of the polysilicon layer. The capacitor can be completed by forming a dielectric layer over the lower electrode, and an upper electrode over the dielectric layer. The cup-shaped bottom electrode formed within the container defines an interior surface comprising HSG polysilicon, and an exterior surface comprising smooth polysilicon.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: November 25, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Lingyi A. Zheng
  • Publication number: 20030211699
    Abstract: A method of manufacturing a semiconductor device has the steps of: (a) forming a lower electrode made of rare metal above a semiconductor substrate; (b) depositing a capacitor dielectric film made of a high dielectric material or ferroelectric oxide on the lower electrode; (c) forming a laminated layer on the capacitor dielectric film, the laminated layer including an upper electrode layer made of rare metal and an adhesive layer with or without an SiO2 mask layer thoreon; (d) patterning the laminated layer; (e) chemically processing the patterned, laminated layer to remove a surface layer of the laminated layer; and (f) forming an interlayer insulating film over the semiconductor substrate, covering the chemically processed, laminated layer. An adhesion force between the rare metal layer and insulating layer can be increased.
    Type: Application
    Filed: June 10, 2003
    Publication date: November 13, 2003
    Inventors: Jun Lin, Hiroshi Minakata, Akihiro Shimada, Toshiya Suzuki, Daisuke Matsunaga
  • Patent number: 6642563
    Abstract: A semiconductor memory including a ferroelectric gate capacitor structure includes an insulating interlayer formed on the surface of a semiconductor substrate. The insulating interlayer includes a hole at a position corresponding to a channel region. In the channel length direction, the hole extends across the channel region. A ferroelectric gate capacitor structure is formed in the hole. The ferroelectric gate capacitor structure includes a dielectric film, ferroelectric film, and upper electrode formed in this order from the substrate side.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: November 4, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroyuki Kanaya
  • Patent number: 6638830
    Abstract: A method of fabricating a high-density capacitor. At least one first trench is formed in a dielectric layer positioned on a semiconductor substrate. A first liner layer and a first conductive layer are formed on the semiconductor substrate followed by a first planarization process. At least one second trench having a joint side wall with the first trench is formed in the dielectric layer. A capacitor dielectric layer, a second liner layer, and a second conductive layer are formed on the semiconductor substrate followed by a second planarization process. The surfaces of the first conductive layer and the second conductive layer are then exposed to form a high-density capacitor having a three-dimensional structure.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: October 28, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Teng-Chun Tsai, Chia-Lin Hsu, Yi-Fang Cheng
  • Patent number: 6635561
    Abstract: An attempt is made to achieve an upward leap in the capacitance of a capacitor of MIM structure and further improvements in the reliability of a semiconductor device. A method of manufacturing a semiconductor device has a step of forming an amorphous silicon film on the surface of a lower electrode of a capacitor, a step for roughening the silicon film, to thereby form rough polysilicon, and a step for etching metal film of a lower electrode while the rough polysilicon is taken as a mask, thereby roughening the surface of the lower electrode. Through the foregoing steps, the surface of a lower electrode of a capacitor of MIM (metal/insulator/metal) structure is formed roughly, thereby increasing the surface area of the capacitor. Thus, a large-capacitance capacitor of MIM structure can be fabricated.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: October 21, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Kawai, Hajime Kimura