Including Texturizing Storage Node Layer Patents (Class 438/398)
  • Publication number: 20040166647
    Abstract: A capacitor structure is formed over a semiconductor substrate by atomic layer deposition to achieve uniform thickness in memory cell dielectric layers, particularly where the dielectric layer is formed in a container-type capacitor structure. In accordance with several embodiments of the present invention, a process for forming a capacitor structure over a semiconductor substrate is provided. Other embodiments of the present invention relate to processes for forming memory cell capacitor structures, memory cells, and memory cell arrays. Capacitor structures, memory cells, and memory cell arrays are also provided.
    Type: Application
    Filed: March 1, 2004
    Publication date: August 26, 2004
    Inventors: Lingyi A. Zheng, Er-Xuan Ping, Lyle Breiner, Trung T. Doan
  • Patent number: 6770528
    Abstract: Conductive layers are formed in the trenches made in an insulating film in the following manner. First, an amorphous silicon film 26A is deposited in the trenches 25 made in a silicon oxide film 24. A photoresist film 30 is then formed on the amorphous silicon film 26A by means of spin coating. Then, exposure light is applied to the entire surface of the photoresist film 30, thereby exposing to light those parts of the photoresist film 30 which lie outside the trenches 25. The other parts of the photoresist film 30, which lie in the trenches 25 are not exposed to light because the light reaching them is inadequate. Further, the photoresist film 30 is developed thereby removing those parts of the film 30 which lie outside the trenches 25 and which have been exposed to light. Thereafter, those parts of the amorphous silicon film 26A, which lie outside the trenches 25, are removed by means of dry etching using, as a mask, the unexposed parts of the photoresist film 30 which remain in the trenches 25.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: August 3, 2004
    Assignees: Hitachi ULSI Systems Co., Ltd., Renesas Technology Corp.
    Inventors: Ryouichi Furukawa, Kazuyuki Suko, Masayuki Hiranuma, Koichi Saitoh, Hirohiko Yamamoto, Tadanori Yoshida, Masayuki Ishizaka, Maki Shimoda
  • Patent number: 6770525
    Abstract: Disclosed is a method for fabricating capacitors for semiconductor devices. This method includes the steps of forming a lower electrode on an understructure of a semiconductor substrate, depositing an amorphous TaON thin film over the lower electrode, annealing the deposited amorphous TaON thin film in an NH3 atmosphere, and repeating the deposition of the amorphous TaON thin film and the annealing of the deposited amorphous TaON thin film at least one time, thereby forming a TaON dielectric film having a multi-layer structure, and forming an upper electrode over the TaON dielectric film. The TaON dielectric film having a multi-layer structure exhibits a dielectric constant that is superior to those of conventional dielectric films. Accordingly, the TaON dielectric film of the invention can be used for capacitors in next generation semiconductor memory devices of grade 256 MB and higher.
    Type: Grant
    Filed: January 2, 2001
    Date of Patent: August 3, 2004
    Assignee: Hyundai Electronics Co., Ltd.
    Inventors: Kee Jeung Lee, Dong Jun Kim
  • Publication number: 20040142536
    Abstract: In one aspect, the invention includes a method of forming a material comprising tungsten and nitrogen, comprising: a) providing a substrate; b) depositing a layer comprising tungsten and nitrogen over the substrate; and c) in a separate step from the depositing, exposing the layer comprising tungsten and nitrogen to a nitrogen-containing plasma. In another aspect, the invention includes a method of forming a capacitor, comprising: a) forming a first electrical node; b) forming a dielectric layer over the first electrical node; c) forming a second electrical node; and d) providing a layer comprising tungsten and nitrogen between the dielectric layer and one of the electrical nodes, the providing comprising; i) depositing a layer comprising tungsten and nitrogen; and ii) in a separate step from the depositing, exposing the layer comprising tungsten and nitrogen to a nitrogen-containing plasma.
    Type: Application
    Filed: January 9, 2004
    Publication date: July 22, 2004
    Inventors: Vishnu K. Agarwal, Gurtej S. Sandhu
  • Patent number: 6764915
    Abstract: A metal-insulator metal (MIM) capacitor structure has a copper layer within a dielectric layer positioned on a substrate, an alloy layer atop the copper layer, a metal oxide layer atop the alloy layer and a top pad layer atop the metal oxide layer.
    Type: Grant
    Filed: November 28, 2002
    Date of Patent: July 20, 2004
    Assignee: United Microelectronics Corp.
    Inventor: Chiu-Te Lee
  • Patent number: 6764943
    Abstract: An enhanced-surface-area conductive layer compatible with high-dielectric constant materials is created by forming a film or layer having at least two phases, at least one of which is electrically conductive. The film may be formed in any convenient manner, such as by chemical vapor deposition techniques, which may be followed by an anneal to better define and/or crystallize the at least two phases. The film may be formed over an underlying conductive layer. At least one of the at least two phases is selectively removed from the film, such as by an etch process that preferentially etches at least one of the at least two phases so as to leave at least a portion of the electrically conductive phase. Ruthenium and ruthenium oxide, both conductive, may be used for the two or more phases. Iridium and its oxide, rhodium and its oxide, and platinum and platinum-rhodium may also be used. A wet etchant comprising ceric ammonium nitrate and acetic acid may be used.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: July 20, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Mark Visokay, Thomas M. Graettinger, Steven D. Cummings
  • Patent number: 6764916
    Abstract: A manufacturing method for a semiconductor device, including forming on or above a semiconductor substrate a silicon film a surface of which has a first polycrystalline silicon film with mushroom or hemisphere-shaped crystal grains, and forming a Ta2O5 film on the silicon film at a pressure of 40 Pa or lower and at a temperature of 480° C. or lower, using a gas obtained by vaporizing Ta(OC2H5)5 as a tantalum source gas.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: July 20, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Ryoichi Furukawa, Tadanori Yoshida, Masayuki Tsuneda, Yasuhiro Inokuchi, Satoru Tagami
  • Publication number: 20040137680
    Abstract: A silicon nitride film, having a greater selective ratio with respect to an interlayer insulating film than a resist film under a prescribed etching condition and harder to polish upon chemical mechanical polishing than the interlayer insulating film, is formed on the interlayer insulating film. This silicon nitride film is used as a hard mask to prevent reduction in height of the interlayer insulating film during chemical mechanical polishing for forming a capacitor lower electrode. The silicon nitride film is also used as an etching mask during etching for forming a hole.
    Type: Application
    Filed: July 8, 2003
    Publication date: July 15, 2004
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventor: Akira Matsumura
  • Publication number: 20040129967
    Abstract: To form a bottom electrode of a capacitor of a semiconductor device, a first insulation layer pattern having a first contact hole is formed on a substrate, and a contact plug for the bottom electrode is formed in the contact hole. A second insulation layer is formed on the first insulation layer pattern and the contact plug. The second insulation layer has a second etching rate higher than a first etching rate of the first insulation layer pattern. The second insulation layer is etched to form a second insulation layer pattern having a second a contact hole exposing the contact plug. A conductive film is formed on the sidewall and the bottom face of the second contact hole. According to the difference between the first etching rate and the second etching rate, the etching of the first insulation layer pattern near the contact plug is reduced.
    Type: Application
    Filed: September 24, 2003
    Publication date: July 8, 2004
    Inventors: Si-Youn Kim, Ki-Jae Hur
  • Publication number: 20040132246
    Abstract: A method of forming a semiconductor device comprising: sequentially forming a supporting layer and a sacrificial layer over a semiconductor substrate; forming an opening by patterning the sacrificial layer and the supporting layer; forming a bottom electrode covering the inner wall and the bottom of the opening; removing the sacrificial layer by a wet etch process; and forming a dielectric layer and an upper electrode on the bottom electrode and the supporting layer, wherein the sacrificial layer is formed of a material having a faster wet etch rate than the supporting layer.
    Type: Application
    Filed: October 1, 2003
    Publication date: July 8, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hong-Ki Kim, Jae-Hee Oh, Kwan-Young Youn
  • Patent number: 6759304
    Abstract: The invention relates to a DRAM integration method that does away with the alignment margins inherent to the photoetching step of the upper electrode of the capacitance for inserting the bit line contact. The removal of the upper electrode is self-aligned on the lower electrode of the capacitance. This is accomplished by forming a difference in topography at the point where the opening of the upper electrode is to be made, and depositing a non-doped polysilicon layer on the upper electrode. An implantation of dopants is performed on this layer, and the part of the non-doped layer located in the lower part of the zone showing the difference in topography is selectively etched. The remainder of the polysilicon layer and the part of the upper electrode located in the lower layer are also etched.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: July 6, 2004
    Assignee: STMicroelectronics SA
    Inventors: Philippe Coronel, Marc Piazza, François Leverd
  • Patent number: 6756267
    Abstract: A method of manufacturing a semiconductor device is provided. A polysilicon film and a rough-surfaced polysilicon film are formed on inter-layer insulating film including side and bottom surfaces of openings formed in inter-layer insulating film. A photoresist is formed on the rough-surfaced polysilicon film. The photoresist, the rough-surfaced polysilicon film and the polysilicon film that are located on the top surface of inter-layer insulating film are removed by the CMP method. The polysilicon film and rough-surfaced polysilicon film are etched in a predetermined atmosphere to make the position of the top end of storage nodes lower than the top surface of inter-layer insulating film.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: June 29, 2004
    Assignee: Renesas Technology, Inc.
    Inventors: Masahiro Shimizu, Takashi Miyajima, Toshinori Morihara
  • Patent number: 6753226
    Abstract: Embodiments of the present invention include a method for manufacturing a semiconductor device, in which, when a DRAM and a MOS field effect transistor that becomes a component of a logic circuit are mix-mounted on the same chip, the DRAM and the MOS field effect transistor can be provided with designed performances. After a capacitor 700 of the DRAM is formed, silicide layers 19a and 19b are formed over N+ type source/drain regions 41c and 41d of MOS field effect transistors 200c, 200d and 200e that are located in peripheral circuit region 2000 and logic circuit region 3000.
    Type: Grant
    Filed: January 13, 2001
    Date of Patent: June 22, 2004
    Assignee: Seiko Epson Corporation
    Inventors: Hiroaki Tsugane, Hisakatsu Sato
  • Patent number: 6753618
    Abstract: An MIM capacitor with low leakage and high capacitance is disclosed. A layer of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) material is formed as a lower electrode over an optional capacitance layer of hemispherical grained polysilicon (HSG). Prior to the dielectric formation, the first layer may be optionally subjected to a nitridization or oxidation process. A dielectric layer of, for example, aluminum oxide (Al2O3) formed by atomic layer deposition (ALD) is fabricated over the first layer and after the optional nitridization or oxidation process. An upper electrode of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) is formed over the dielectric layer.
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: June 22, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Thomas M. Graettinger
  • Publication number: 20040113235
    Abstract: The invention is directed to unique high-surface area BEOL capacitor structures with high-k dielectric layers and methods for fabricating the same. These high-surface area BEOL capacitor structures may be used in analog and mixed signal applications. The capacitor is formed within a trench with pedestals within the trench to provide additional surface area. The top and bottom electrodes are created using damascene integration scheme. The dielectric layer is created as a multilayer dielectric film comprising for instance Al2O3, Al2O3/Ta2O5, Al2O3/Ta2O5/Al2O3 and the like. The dielectric layer may be deposited by methods like atomic layer deposition or chemical vapor deposition. The dielectric layer used in the capacitor may also be produced by anodic oxidation of a metallic precursor to yield a high dielectric constant oxide layer.
    Type: Application
    Filed: December 13, 2002
    Publication date: June 17, 2004
    Applicant: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, John M. Cotte, Ebenezer E. Eshun, Kenneth J. Stein, Kunal Vaed, Richard P. Volant
  • Publication number: 20040108536
    Abstract: A semiconductor device having MIM capacitors is configured so that the bottom surface of the lower electrode and a top surface area of an oxidation barrier pattern are substantially equal. Related methods for forming the device are also described.
    Type: Application
    Filed: August 28, 2003
    Publication date: June 10, 2004
    Inventors: Sung-Yung Lee, Nak-Won Jang, Heung-Jin Joo
  • Patent number: 6746877
    Abstract: A ferroelectric capacitor encapsulation method for preventing hydrogen damage to electrodes and ferroelectric material of the capacitor. In general terms, the method for encapsulating a capacitor includes etching a bottom electrode of a capacitor to expose an underlying wafer surface. An undercut is etched between the capacitor and the wafer surface. The undercut is refilled with a barrier layer to reduce the diffusion of hydrogen from the surface of the wafer into the capacitor.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: June 8, 2004
    Assignee: Infineon AG
    Inventors: Karl Hornik, Ulrich Egger, Rainer Bruchhaus
  • Patent number: 6746915
    Abstract: The stack-type DRAM memory structure of the present invention comprises a plurality of self-aligned thin third conductive islands over shallow heavily-doped source diffusion regions without dummy transistors to obtain a cell size of 6F2 or smaller; a rectangular tube-shaped cavity having a conductive island formed above a nearby transistor-stack being formed over each of the self-aligned thin third conductive islands to offer a larger surface area for forming a high-capacity DRAM capacitor of the present invention; a planarized third conductive island being formed between a pair of first sidewall dielectric spacers and on each of shallow heavily-doped common-drain diffusion regions to offer a larger contact area and a higher contact integrity; and a plurality of planarized conductive contact-islands being formed over the planarized third conductive islands to eliminate the aspect-ratio effect and being patterned and etched simultaneously with a plurality of bit lines.
    Type: Grant
    Filed: July 17, 2002
    Date of Patent: June 8, 2004
    Assignee: Intelligent Sources Development Corp.
    Inventor: Ching-Yuan Wu
  • Patent number: 6746930
    Abstract: A memory cell container of a DRAM semiconductor memory device and method for manufacturing the cell container are disclosed. The cell includes a container formed in a structural layer such as borophosphosilicate glass. The container is then lined with a polysilicon such as hemispherical grained polysilicon. A dielectric layer is deposited over the polysilicon layer. A barrier layer is deposited over the dielectric layer such that the opening of the container is covered but not the sidewalls or the bottom of the container. The cell is then oxidized and the barrier layer provides protection as an oxygen barrier during the oxidation or any following re-oxidation process.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: June 8, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Sam Yang, Lingyi A. Zheng
  • Patent number: 6746931
    Abstract: Disclosed are a capacitor for semiconductor devices capable of increasing storage capacitance and preventing leakage current, and method of manufacturing the same. The capacitor for semiconductor memory devices according to the present invention includes: a lower electrode; a dielectric layer formed on the lower electrode; and an upper electrode formed on the upper portion of the dielectric layer, wherein the dielectric layer is a crystalline TaxOyNz layer, and the total of x, y, and z in the crystalline TaxOyNz layer is 1, and y is 0.3 to 0.5, and z is 0.1 to 0.3.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: June 8, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kee Jeung Lee, Dong Jun Kim
  • Patent number: 6746913
    Abstract: A silicon oxide film on which a capacitor of a semiconductor integrated circuit device is formed is formed by the plasma CVD method at a temperature of 450° C. to 700° C. In this semiconductor integrated circuit device, a memory cell formed of a MISFET for data transfer and a capacitor is formed in a memory cell forming area, and an n channel MISFET and a p channel MISFET constituting a logic circuit is formed in a logic circuit forming area. As a result, the amount of degassing from the silicon oxide film can be reduced. Therefore, the growth of silicon grains on a surface of the silicon film constituting a lower electrode of the capacitor is not hindered by the degassing, and it becomes possible to increase the capacitance. Also, the step of a heat treatment for removing the moisture and the like after forming the silicon oxide film can be omitted, and it becomes possible to prevent the deterioration of the property of the MISFET.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: June 8, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Tsuyoshi Fujiwara, Takeshi Saikawa, Ryouichi Furukawa, Masato Kunitomo
  • Patent number: 6740901
    Abstract: A semiconductor integrated circuit in which the storage capacitor has an increased capacitance and a decreased leakage current. The storage capacitor is formed by the steps of: forming a polysilicon bottom electrode having semispherical silicon crystals formed thereon; performing plasma nitriding on the surface of said bottom electrode at a temperature lower than 550° C., thereby forming a film of silicon nitride having a film thickness smaller than 1.5 nm; and depositing a film of amorphous tantalum pentoxide and then crystallizing said amorphous tantalum pentoxide. The silicon nitride film has improved resistance to oxidation and also has a reduced leakage current. As a result, the polysilicon bottom electrode becomes resistant to oxidation and the storage capacitor increases in capacitance and decreases in leakage current.
    Type: Grant
    Filed: December 2, 2002
    Date of Patent: May 25, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Hiroshi Miki, Yasuhiro Shimamoto, Masahiko Hiratani, Tomoyuki Hamada
  • Patent number: 6740553
    Abstract: Disclosed are a capacitor for a semiconductor device capable of increasing storage capacitance and preventing leakage current, and a method of manufacturing the same. According to the present invention, a lower electrode is formed on a semiconductor substrate. A surface of the lower electrode is surface-treated to prevent generation of a natural oxide layer. A TaON layer as a dielectric layer is deposited on the lower electrode. Impurities of the TaON layer are crystallized and out-diffused. And an upper electrode is deposited on the TaON layer. Herein, the TaON layer is formed by a chemical vapor reaction of Ta obtained from O2 gas and NH3 gas in an LPCVD chamber to which O2 gas and NH3 gas are supplied at a pressure of 0.1˜10 Torr at a temperature of 300˜600° C., respectively.
    Type: Grant
    Filed: June 26, 2000
    Date of Patent: May 25, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kee Jeung Lee, Il Keoun Han, Hong Seon Yan
  • Publication number: 20040092072
    Abstract: Arrangements having increased on-die capacitance.
    Type: Application
    Filed: November 7, 2002
    Publication date: May 13, 2004
    Inventor: Sarah E. Kim
  • Patent number: 6734077
    Abstract: A method for fabricating a trench capacitor for a semiconductor memory includes forming a masking layer in a trench that is disposed in a substrate. Nanocrystallites, which are used to pattern the masking layer, are deposited on the masking layer. Microtrenches are etched into the substrate in a lower region of the trench by the patterned masking layer. The microtrenches form a roughened trench sidewall. As a result, the outer capacitor electrode is formed with a larger surface area, allowing the trench capacitor to have a higher capacitance.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: May 11, 2004
    Assignee: Infineon Technologies AG
    Inventors: Matthias Förster, Kristin Schupke, Anja Morgenschweis, Anett Moll, Jens-Uwe Sachse
  • Patent number: 6730559
    Abstract: The invention includes methods of forming capacitors and capacitor constructions. In one implementation, a method of forming a capacitor includes forming a first capacitor electrode. A first layer of a first capacitor dielectric material is formed over the first capacitor electrode. A second layer of the first capacitor dielectric material is formed on the first layer. A second capacitor electrode is formed over the second layer of the first capacitor dielectric material. A capacitor in accordance with an implementation of the invention includes a pair of capacitor electrodes having capacitor dielectric material therebetween comprising a composite of two immediately juxtaposed and contacting, yet discrete, layers of the same capacitor dielectric material.
    Type: Grant
    Filed: April 10, 1998
    Date of Patent: May 4, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Vishnu K. Agarwal, Garo J. Derderian
  • Patent number: 6730563
    Abstract: A rough polysilicon film located on the upper surface of an interlayer film is removed by a CMP process, so that storage nodes and an embedded TEOS film are formed. The embedded TEOS film is removed concurrently with the interlayer film located in a memory cell region by etching. An opening end of a groove, the upper surface of the embedded TEOS film and the upper surface of the interlayer film are arranged on substantially the same plane. In the memory cell region and a peripheral circuit region, a substantially flat interlayer insulation film is obtained. This solves the problems of a step, falling and the like in a semiconductor device including a capacitor element.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: May 4, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Akira Matsumura
  • Publication number: 20040082126
    Abstract: A semiconductor device for use in a memory cell includes an active matrix provided with a semiconductor substrate, a plurality of transistors formed on the semiconductor substrate and conductive plugs electrically connected to the transistors, a number of bottom electrodes formed on top of the conductive plugs, composite films formed on the bottom electrodes and A2O3 films formed on the composite films. In the device, the composite films are made of (Ta2O5)0.92 (TiO2)0.08 by using an atomic layer deposition (ALD).
    Type: Application
    Filed: December 8, 2003
    Publication date: April 29, 2004
    Applicant: Hyundai Electronics Industries Co., Ltd.
    Inventors: Ki-Seon Park, Byoung-Kwan Ahn
  • Publication number: 20040077142
    Abstract: Within a method for forming a capacitor within a microelectronic fabrication, there is employed a bilayer capacitor dielectric layer formed in part of an aluminum oxide dielectric material deposited employing an atomic layer deposition (ALD) method, and subsequently plasma treated. The aluminum oxide dielectric material deposited employing the atomic layer deposition (ALD) method and subsequently plasma treated provides for enhanced performance of the capacitor.
    Type: Application
    Filed: October 17, 2002
    Publication date: April 22, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lan-Lin Chao, Chia-Shiung Tsai, Chun Chieh Lin
  • Patent number: 6723601
    Abstract: A semiconductor device for use in a memory cell including an active matrix provided with a silicon substrate, at least one transistor formed on the silicon substrate, a number of bottom electrodes formed over the transistors, a plurality of conductive plugs to electrically connect the bottom electrodes to the transistors, respectively, and an insulating layer formed around the conductive plugs. In the device, by carrying out a carbon treatment to top surface portions of the bottom electrode structure, it is possible to secure enough space to prevent the formation of bridges between the bottom electrodes.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: April 20, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Se-Min Lee, Dong-Hwan Kim, Keun-Il Lee
  • Patent number: 6723613
    Abstract: A method is disclosed for increasing the surface area of hemispherical-grain polysilicon and for forming a storage-node capacitor plate that can be used in the manufacture of dynamic random access memories (DRAMs). A layer of polycrystalline silicon is deposited on a substrate. This layer is either in-situ doped or doped after it is deposited via implantation or diffusion. Next, an amorphous silicon layer is deposited on top of the polycrystalline silicon layer. Hemispherical-grain (HSG) polysilicon seeds are then grown on the upper surface of the amorphous silicon layer using one of several known techniques. An anneal sequence is then performed in the presence of silane. An initial temperature of about 550° C. is maintained for about 3.5 minutes. At the end of that period, the temperature is ramped at a rate of 2° C. per minutes over a period of about 8 minutes. Upon reaching a temperature of about 568° C., that final temperature is maintained for an additional period of about 6 minutes.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: April 20, 2004
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Chin-Te Huang
  • Patent number: 6717202
    Abstract: A first silicon film is so formed as to extend along the inner surface of trenches 52 formed in a silicon oxide film 50, an oxide film is formed on the surface of the first silicon film, and a second amorphous silicon film is further deposited. Heat-treatment is applied to the surface of the second amorphous silicon film for seeding silicon nuclei and for promoting grain growth, and a granular silicon crystal 57 is grown from the second amorphous silicon film. In this way, the resistance of a lower electrode 59 of a capacitance device can be lowered.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: April 6, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Yasuhiro Sugawara, Ryouichi Furukawa, Toshio Uemura, Akira Takamatsu, Hirohiko Yamamoto, Tadanori Yoshida, Masayuki Ishizaka, Shinpei Iljima, Yuzuru Ohji
  • Publication number: 20040063297
    Abstract: As disclosed herein, a method is provided, in an integrated circuit, for forming an enhanced capacitance trench capacitor. The method includes forming a trench in a semiconductor substrate and forming an isolation collar on a sidewall of the trench. The collar has at least an exposed layer of oxide and occupies only a “collar” portion of the sidewall, while a “capacitor” portion of the sidewall is free of the collar. A seeding layer is then selectively deposited on the capacitor portion of the sidewall. Then, hemispherical silicon grains are deposited on the seeding layer on the capacitor portion of the sidewall. A dielectric material is deposited, and then a conductor material, in that order, over the hemispherical silicon grains on the capacitor portion of the sidewall.
    Type: Application
    Filed: September 27, 2002
    Publication date: April 1, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kenneth T. Settlemyer,, Porshia Shane Wrschka
  • Patent number: 6709947
    Abstract: A method and structure for increasing the area and capacitance of both trench and planar integrated circuit capacitors uses Si nodules deposited on a thin dielectric seeding layer that is absorbed during subsequent thermal processing, thereby avoiding a high resistance layer in the capacitor.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: March 23, 2004
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Porshia S. Wrschka, Irene McStay
  • Publication number: 20040053463
    Abstract: A rough polysilicon film located on the upper surface of an interlayer film is removed by a CMP process, so that storage nodes and an embedded TEOS film are formed. The embedded TEOS film is removed concurrently with the interlayer film located in a memory cell region by etching. An opening end of a groove, the upper surface of the embedded TEOS film and the upper surface of the interlayer film are arranged on substantially the same plane. In the memory cell region and a peripheral circuit region, a substantially flat interlayer insulation film is obtained. This solves the problems of a step, falling and the like in a semiconductor device including a capacitor element.
    Type: Application
    Filed: February 13, 2003
    Publication date: March 18, 2004
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Akira Matsumura
  • Patent number: 6706591
    Abstract: A process for forming a DRAM stacked capacitor structure with increased surface area, has been developed. The process features forming lateral grooves in the sides of a polysilicon storage node structure, during a dry etching procedure used to define the storage node structure. The grooves are selectively, and laterally formed in ion implanted veins, which in turn had been placed at various depths in an intrinsic polysilicon layer via a series of ion implantation steps, each performed at a specific implant energy. An isotopic component of the storage node structure, defining dry etch procedure, selectively etches the highly doped, ion implanted veins at a greater rate than the non-ion implanted regions of polysilicon, located between the ion implanted veins, resulting in a necked profile, storage node structure, featuring increase surface area as a result of the formation of the lateral grooves.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: March 16, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Bor-Wen Chan, Huan-Just Lin, Hun-Jan Tao
  • Patent number: 6703285
    Abstract: An object of the present invention is to provide a method for manufacturing a capacitor structure that makes it possible to control the accumulation of electric charges on a top electrode film as a factor that brings about electrostatic breakdown in the insulating film of an MIM capacitor structure, and to provide a method for manufacturing capacitor elements with a low percent defective. The first technique is characterized in that a top electrode film is formed on a substrate after a grounded conductive member is brought into contact with a bottom electrode film or insulating film, and the conductive member is then separated from the bottom electrode film or insulating film. The second technique is characterized in that a top electrode film is formed on a substrate in a state in which a member kept at a negative potential is disposed around the substrate.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: March 9, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Yoshikazu Arakawa, Keiichi Hashimoto
  • Patent number: 6699752
    Abstract: The present invention provides methods of forming in situ doped rugged silicon and semiconductor devices incorporating conductive rugged silicon. In one aspect, the methods involve forming a layer of amorphous silicon on a substrate at a substantially constant deposition temperature; and converting the layer of amorphous silicon into hemispherical grain silicon by subjecting the layer of amorphous silicon to substantially the deposition temperature while varying pressure.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: March 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xuan Ping, Randhir Thakur
  • Patent number: 6699745
    Abstract: A rugged polysilicon electrode for a capacitor has high surface area enhancement with a thin layer by high nucleation density plus gas phase doping which also enhances grain shape and oxygen-free dielectric formation.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: March 2, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Aditi Banerjee, Rick L. Wise, Darius L. Crenshaw
  • Patent number: 6689668
    Abstract: Various methods are provided of forming capacitor electrodes for integrated circuit memory cells in which out-diffusion of dopant from doped silicon layers is controlled by deposition of barrier layers, such as layers of undoped silicon and/or oxide. In one aspect, a method of forming hemispherical grain silicon on a substrate is provided that includes forming a first doped silicon layer on the substrate and a first barrier layer on the doped silicon layer. A hemispherical grain polysilicon source layer is formed on the first barrier layer and a hemispherical grain silicon layer on the hemispherical grain polysilicon source layer. By controlling out-diffusion of dopant, HSG grain size, density and uniformity, as well as DRAM memory cell capacitance, may be enhanced, while at the same time maintaining reactor throughput.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: February 10, 2004
    Assignees: Samsung Austin Semiconductor, L.P., Samsung Electronics Co., Ltd.
    Inventors: Mohamed el-Hamdi, Tony T. Phan, Luther Hendrix, Bradley T. Moore
  • Patent number: 6686234
    Abstract: The method for fabricating a semiconductor device comprises the steps of: forming a silicon film on an insulation film; forming on the silicon film a rugged polycrystalline silicon film having a rugged surface; and etching the rugged polycrystalline silicon film and the silicon film in a region where concavities on the surface of the rugged polycrystalline silicon film are formed under etching conditions which make the deposition relatively strong with respect to the etching to thereby deepen the concavities. Accordingly, the etching back of the rugged polycrystalline silicon film does not decrease a capacitance and, to the contrary, can increase the capacitance. Furthermore, it is not necessary to secure a capacitance that the silicon film for forming the storage electrode is thicker in advance, which makes the fabrication process simple.
    Type: Grant
    Filed: August 3, 2000
    Date of Patent: February 3, 2004
    Assignee: Fujitsu Limited
    Inventor: Manabu Hayashi
  • Patent number: 6682969
    Abstract: An improved charge storing device and methods for providing the same, the charge storing device comprising a conductor-insulator-conductor (CIC) sandwich. The CIC sandwich comprises a first conducting layer deposited on a semiconductor integrated circuit. The CIC sandwich further comprises a first insulating layer deposited over the first conducting layer in a flush manner. The first insulating layer comprises a structure having a plurality of oxygen cites and a plurality of oxygen atoms that partially fill the oxygen cites, wherein the unfilled oxygen cites define a concentration of oxygen vacancies.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: January 27, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Howard E. Rhodes, Gurtej Sandhu, F. Daniel Gealy, Thomas M. Graettinger
  • Publication number: 20040014279
    Abstract: The invention provides robust and cost effective techniques to fabricate double-sided HSG electrodes for container capacitors. In one embodiment, this is accomplished by forming a layer of hemispherical silicon grain (HSG) polysilicon over interior surfaces of a container formed in a substrate. A barrier layer is then formed over the formed HSG polysilicon layer. Any HSG polysilicon and barrier layers formed over the substrate and around the container opening during the forming of the HSG polysilicon and barrier layers is then removed. A portion of outside surfaces of the formed HSG polysilicon is then exposed by removing the substrate, while the barrier layer is still on the interior surface of the container to prevent formation of sink holes and to prevent stringer problems during removal of the substrate. The barrier layer is then removed to expose the interior surfaces of the HSG polysilicon to form the double-sided HSG electrode.
    Type: Application
    Filed: July 18, 2002
    Publication date: January 22, 2004
    Applicant: Micron Technology, Inc.
    Inventor: Lingyi A. Zheng
  • Publication number: 20040009641
    Abstract: Provided is a semiconductor device manufacturing method in which the numbers of photolithography and anisotropic dry etching processes are reduced to simplify the manufacturing steps; and it is avoided that the presence of an etching stopper film complicates the manufacturing steps in a region where no capacitor is formed, and also causes malfunction in a contact plug. Specifically, an anisotropic dry etching using a resist mask (RM2) is performed to form an opening (OP3) extending through at least an interlayer insulating film (5). Even after an etching stopper film (4) is exposed to the bottom part of the opening (OP3), the anisotropic dry etching is continued, using the etching stopper film (4) as etching mask, in order to form a contact hole (CH1) extending through an interlayer insulating film (3) to source/drain regions (11, 13). Therefore, the opening (OP3) and contact hole (CH1) are obtainable at a time in the same etching step.
    Type: Application
    Filed: December 31, 2002
    Publication date: January 15, 2004
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Yusuke Kawase
  • Publication number: 20040007725
    Abstract: A storage capacitor has a double cylinder type structure, with a small cylinder in a lower part thereof and a cylindrical lower electrode structure disposed on the cylindrical contact plug. A method of fabricating the storage capacitor includes: forming a contact hole for exposing an activation region of a transistor; depositing a conductive film to form within the contact hole a contact plug of the storage capacitor having a void therein; opening an upper part of the void of the contact plug; and covering a surface of the device with material to form the storage capacitor electrode, to obtain the storage capacitor electrode having a double cylindrical structure.
    Type: Application
    Filed: June 4, 2003
    Publication date: January 15, 2004
    Inventor: Wook-Sung Son
  • Patent number: 6677217
    Abstract: The effective area of a MIM capacitor is increased by forming a lower electrode that includes hemispherical grain lumps. The hemispherical grain lumps are formed by heat-treating a metal layer in an oxygen and/or nitrogen atmosphere, thus oxidizing the surface of the metal layer or growing the crystal grains of the metal layer. The MIM capacitor may be formed of Pt, Ru, Rh, Os, Ir, or Pd, and the hemispherical grain lumps may be formed of Pt, Ru, Rh, Os, Ir, or Pd. Since the metal layer is primarily heat-treated during the formation of the lower electrode, it is possible to reduce the degree to which the surface morphology of the lower electrode is rapidly changed due to a heat treatment subsequent to forming a dielectric layer and an upper electrode.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: January 13, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-hyun Joo, Wan-don Kim, Seok-jun Won, Soon-yeon Park
  • Publication number: 20040005757
    Abstract: The present invention provides a cylindrically shaped stack electrode having a lamination structure which comprises a cylindrically shaped outer layer and a cylindrically shaped inner layer laminated on an inner wall of said cylindrically shaped outer layer, wherein hemispherical grains are formed on an inner wall of said cylindrically shaped inner layer. The cylindrically shaped stack electrode has the lamination structure of a plurality of layers.
    Type: Application
    Filed: March 10, 2003
    Publication date: January 8, 2004
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Hiroyuki Kitamura
  • Publication number: 20040005756
    Abstract: A method is disclosed for increasing the surface area of hemispherical-grain polysilicon and for forming a storage-node capacitor plate that can be used in the manufacture of dynamic random access memories (DRAMs). A layer of polycrystalline silicon is deposited on a substrate. This layer is either in-situ doped or doped after it is deposited via implantation or diffusion. Next, an amorphous silicon layer is deposited on top of the polycrystalline silicon layer. Hemispherical-grain (HSG) polysilicon seeds are then grown on the upper surface of the amorphous silicon layer using one of several known techniques. An anneal sequence is then performed in the presence of silane. An initial temperature of about 550° C. is maintained for about 3.5 minutes. At the end of that period, the temperature is ramped at a rate of 2° C. per minutes over a period of about 8 minutes. Upon reaching a temperature of about 568° C., that final temperature is maintained for an additional period of about 6 minutes.
    Type: Application
    Filed: July 2, 2002
    Publication date: January 8, 2004
    Inventor: Chin-Te Huang
  • Patent number: 6673673
    Abstract: An apparatus and method for forming a HSG silicon layer on a capacitor lower electrode of a semiconductor memory device. The apparatus includes a processing chamber having a plurality of source gas supply nozzles, the lengths of the nozzles being different from one another so as to uniformly supply a source gas. A loadlock chamber is placed under the processing chamber. A boat loaded with wafers is moved from the loadlock chamber to the processing chamber, with the boat being rotated while the source gas is supplied. The processing chamber and loadlock chambers are connected to a vacuum system having two vacuum pumps for maintaining a vacuum in the chambers. A third vacuum pump, connected to the processing chamber, is operated when the vacuum in the processing chamber reaches a predetermined value.
    Type: Grant
    Filed: March 6, 2000
    Date of Patent: January 6, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-jip Yang, Chan-hee Han, Young-kyou Park, Jae-wook Kim
  • Patent number: 6673689
    Abstract: A high surface area capacitor comprising a double metal layer of an electrode metal and a barrier material deposited on hemispherical grain (HSG) silicon and a high dielectric constant (HDC) material deposited over the double metal layer. An upper cell plate electrode is deposited over the HDC material. The double metal layer preferably comprises one noble metal for the electrode metal and an oxidizable metal for the barrier material. The noble metal alone would normally allow oxygen to diffuse into and oxidize any adhesion layer and/or undesirably oxidize any silicon-containing material during the deposition of the HDC material. The barrier metal is used to form a conducting oxide layer or a conducting layer which stops the oxygen diffusion. The HSG polysilicon provides a surface roughness that boosts cell capacitance. The HDC material is also used to boost cell capacitance.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: January 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Husam N. Al-Shareef, Scott DeBoer, Randhir Thakur