Having Substrate Registration Feature (e.g., Alignment Mark) Patents (Class 438/401)
  • Patent number: 7432605
    Abstract: An overlay mark for checking the alignment accuracy between a lower layer and a lithography process for defining an upper layer is described, including a part of the lower layer having two first x-directional trenches, two first y-directional trenches, two second x-directional trenches and two second y-directional trenches therein, and two x-directional and two y-directional photoresist bars thereover that are surrounded by the trenches and formed in the lithography process. When the lower layer is fully aligned with the lithography process, the intersection of the central line of the two first x-directional trenches and that of the two first y-directional trenches, the intersection of the central line of the two second x-directional trenches and that of the two second y-directional trenches and the intersection of the central line of the two x-directional photoresist lines and that of the two y-directional photoresist lines coincide with each other.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: October 7, 2008
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chih-Hao Huang, Chin-Cheng Yang
  • Patent number: 7433038
    Abstract: An alignment apparatus for a substrate bonding system is provided with a first optical arm arranged to direct onto a detector radiation from a first alignment mark on a first substrate, and a second optical arm arranged to direct onto the detector radiation from a second alignment mark on a second substrate. The first alignment mark has a known location relative to a functional pattern provided on an opposite side of the first substrate, and the second alignment mark has a known location relative to a functional pattern provided on an opposite side of the second substrate. The substrate bonding system can be further provided with first and second substrate tables arranged to hold the first and second substrates such that they face one another, at least one of the substrate tables being movable in response to a signal output from the detector, thereby allowing the first and second substrates to be aligned with respect to each other for bonding.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: October 7, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Franciscus Godefridus Casper Bijnen, Roy Werkman
  • Publication number: 20080242043
    Abstract: A method for checking the alignment accuracy using an overlay mark is provided. The overlay mark includes an inner mark and an outer mark formed on a wafer. The outer mark is formed in a lower layer on the wafer when the lower layer is patterned. The inner mark is formed within the outer mark over the lower layer when a lithography process for defining an upper layer is performed. A measurement process is conducted to obtain a first relation between each of the interior profiles of the outer marks and a second relation between each of the inner marks. Alternatively, a third relation between each of the interior profiles of the outer marks and each of the inner marks is obtained. The X-directional alignment accuracy and y-directional alignment accuracy are computed according to the first and the second relations, or the third relation.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chin-Cheng Yang
  • Patent number: 7429522
    Abstract: A dicing die-bonding film has a supporting substrate, an adhesive layer formed on the supporting substrate, and a die-bonding adhesive layer formed on the adhesive layer, and further has a mark for recognizing the position of the die-bonding adhesive layer. It is possible to provide a dicing die-bonding film in which in the case a semiconductor wafer and the dicing die-bonding film are stuck onto each other, the position of the die-bonding adhesive layer in the film can be recognized.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: September 30, 2008
    Assignee: Nitto Denko Corporation
    Inventors: Takeshi Matsumura, Masayuki Yamamoto
  • Patent number: 7422955
    Abstract: A method for manufacturing a semiconductor device, includes: forming a recognition mark that defines a well-forming region for forming a well on a semiconductor substrate; forming a mask, using the recognition mark, that is patterned so that the well-forming region is opened; introducing an impurity into the well-forming region; performing heat treatment for forming a well by diffusing the impurity; and forming an element isolation region on the semiconductor substrate.
    Type: Grant
    Filed: April 12, 2006
    Date of Patent: September 9, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Takeshi Saito
  • Publication number: 20080211063
    Abstract: A semiconductor wafer includes a semiconductor substrate, a semiconductor layer, and an oxide layer. The semiconductor layer is disposed on a surface of the semiconductor substrate and has a crystal structure similar to a crystal structure of the semiconductor substrate. The semiconductor layer includes an element section and a scribe section. The scribe section is disposed to divide the element section into a plurality of portions and is configurated to be used as a cutting allowance for dicing. Each of the portions includes a column structure in which columns having different conductivity types are arranged alternately. The oxide layer is disposed on a surface of the scribe section to be exposed to an outside of the semiconductor device.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 4, 2008
    Applicant: DENSO CORPORATION
    Inventors: Shinichi Adachi, Nobuhiro Tsuji, Shoichi Yamauchi
  • Patent number: 7419899
    Abstract: A method for manufacturing a semiconductor device comprises forming a laser marking, forming a trench pattern, forming a metal interconnection layer, removing a predetermined portion of the metal interconnection layer, and planarizing the metal interconnection layer. The laser marking is formed in a first region of a wafer, and the first region has a first width from an edge of the wafer. The trench pattern is formed above the wafer except for above the first region. The metal interconnection layer is formed above the wafer where the laser marking and the trench pattern are formed. The predetermined portion of the metal interconnection layer is removed, and the predetermined portion has a second width from the edge of the wafer equal to or greater than the first width. And the metal interconnection layer above the wafer where the trench pattern is formed is planarized to a predetermined thickness.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: September 2, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jea Hee Kim
  • Patent number: 7419882
    Abstract: A small-size (w<0.5 micrometers) alignment mark in combination with a “k1 process” is proposed, which is particularly suited for the fabrication of trench-capacitor DRAM devices which requires highly accurate AA-DT alignment. The “k1 process” is utilized to etch away polysilicon studded in the alignment mark trenches and refresh the trench profile prior to AA pattern transferring, thereby improving wafer alignment accuracy and precision.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: September 2, 2008
    Assignee: Nanya Technology Corp.
    Inventors: Yuan-Hsun Wu, An-Hsiung Liu, Chiang-Lin Shih, Pei-Ing Lee, Hui-Min Mao, Lin-Chin Su
  • Publication number: 20080206898
    Abstract: A method of forming a monitor mark includes forming an insulating film on a semiconductor substrate, and forming a first repetitive line pattern group and a second repetitive line pattern group by patterning the insulating film on the semiconductor substrate, such that the first repetitive line pattern group and the second repetitive line pattern group face each other with a predetermined space therebetween.
    Type: Application
    Filed: February 26, 2008
    Publication date: August 28, 2008
    Inventors: Kazuya FUKUHARA, Kazutaka Ishigo
  • Publication number: 20080200004
    Abstract: In a method of fabricating a semiconductor optical device, insulating structures for an alignment mark for use in electron beam exposure is formed on a primary surface of a first III-V semiconductor region. After forming the insulating structures, a second III-V semiconductor region is grown on the first III-V semiconductor region to form an epitaxial wafer. The height of the insulating structures is larger than thickness of the second III-V semiconductor region. After forming the second III-V semiconductor region, alignment for the electron beam exposure is performed. After the alignment, a resist is exposed to electron beam to form a resist mask. The resist mask has a pattern for a diffraction grating, and the resist is on the epitaxial wafer.
    Type: Application
    Filed: February 7, 2008
    Publication date: August 21, 2008
    Inventor: Toshio Nomaguchi
  • Publication number: 20080197436
    Abstract: An electronic device is formed by epitaxially growing a Si substrate on a Si layer of an SOI substrate in which the Si layer is deposited on a front surface of a substrate with an insulating layer interposed therebetween; forming an element on a front-surface side of the Si substrate; and forming a back-surface element aligned with respect to the element, on a back-surface side of the Si substrate after the substrate is etched. A mark is formed by etching and removing the Si layer and the insulating layer in a predetermined position of the SOI substrate. The element is formed using a concave part as a reference position. The concave part appears on the front surface of the Si substrate epitaxially grown on the mark. The back-surface element is formed using the mark as a reference position. The mark appears after the substrate is etched.
    Type: Application
    Filed: February 15, 2008
    Publication date: August 21, 2008
    Inventor: Shinji UYA
  • Patent number: 7415319
    Abstract: Correcting for misalignment of a substrate before it is exposed is performed using offset corrections and process corrections that are calculated based on alignment offset measurements of alignment marks and overlay measurements of overlay targets on substrates in previous batches.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: August 19, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Roy Werkman, Everhardus Cornelis Mos
  • Patent number: 7410880
    Abstract: In a method for measuring the bonding quality of bonded substrates, such as bonded SOI wafers, a plurality of marks are created at a first side of a top substrate after, or before, the bonding of the top substrate onto a bottom substrate. Then, the positions of the plurality of marks are measured using a metrology tool. Next, for each of the marks, a difference between a measured position and an expected position is calculated. These differences can be used to determine delamination between the top substrate and the bottom substrate. By displaying a vector field representing the differences, and by not showing vectors that exceed a certain threshold, the delamination areas can be made visible.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: August 12, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Keith Frank Best, Joseph J. Consolini, Alexander Friz
  • Patent number: 7408265
    Abstract: An alignment mark mask element protects an underlying alignment mark during subsequent processing of a fabrication substrate. The alignment mark mask element is formed concurrent with formation of a photomask from a dual-tone photoresist that exhibits a pattern reversal upon exposure to an energy level. A portion of the dual-tone photoresist above the alignment mark is exposed to an energy sufficient to reverse a positive tone resist to a negative tone, which remains above the alignment mark after developing. The remainder of the dual-tone photoresist is exposed through a reticle at a lesser energy level and patterned to define aperture locations of a photomask for formation of semiconductor device features. In addition, a photomask for use on a fabrication substrate and an intermediate semiconductor device are disclosed. Methods of forming a photomask and an intermediate semiconductor device structure are also disclosed.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: August 5, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Richard D. Holscher, Ardavan Niroomand
  • Publication number: 20080179710
    Abstract: A method of manufacturing a semiconductor wafer for dicing includes providing a semiconductor wafer including a substrate and a plurality of upper layers on the substrate that form a formation of die areas. The formation is arranged so that adjacent die areas are separated by a path for a dicing tool within each path, a pair of spaced apart lines is fabricated. Each line defines a dicing edge of a respective path and has at least one trench extending between a top surface of the wafer and the substrate. Each trench is filled with a stress absorbing material for reducing die tool induced stress on the die areas during dicing.
    Type: Application
    Filed: January 29, 2007
    Publication date: July 31, 2008
    Inventors: Heng Keong Yip, Wai Yew Lo, Lan Chu Tan
  • Patent number: 7405134
    Abstract: Exemplary embodiments of the present invention provide a method of manufacturing a semiconductor device that can take a connection between layers without giving damage to a layer, which is underlying. The semiconductor device includes forming conductive members Ms and Md at a predetermined position of a semiconductor film, forming an insulating film on a whole surface of a substrate excluding the conductive members Ms and Md, and forming a conductive film that is connected to the semiconductor film with the conductive member Ms and Md.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: July 29, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Ichio Yudasaka, Hideki Tanaka
  • Publication number: 20080176376
    Abstract: A product information marking method including a back side grinding step for grinding the back side of a wafer having a plurality of devices formed on the front side so as to be partitioned by a plurality of separation lines, thereby obtaining a desired thickness of the wafer. After performing the back side grinding step, a marking step for marking product information on the back side of each device by applying a laser beam to the back side of the wafer is performed before separating the devices from each other. Thus, the product information is marked on each device in the stage of the wafer.
    Type: Application
    Filed: January 14, 2008
    Publication date: July 24, 2008
    Applicant: Disco Corporation
    Inventor: Yoshikazu Kobayashi
  • Publication number: 20080157404
    Abstract: A method for aligning a first set of features of a fabrication level of an integrated circuit chip to an electron beam alignment target formed in a substrate and forming the first set of features using electron beam lithography and for aligning a second set of features of the same fabrication level of the integrated circuit chip to an optical alignment target formed in the substrate and forming the second set of features using photolithography, the optical alignment target itself is aligned to the electron beam alignment target. Also a method of forming and a structure of the electron beam alignment target.
    Type: Application
    Filed: January 2, 2007
    Publication date: July 3, 2008
    Inventors: David Michael Fried, John Michael Hergenrother, Sharee Jane McNab, Michael J. Rooks, Anna Topol
  • Publication number: 20080157260
    Abstract: A method for aligning a first set of features of a fabrication level of an integrated circuit chip to an electron beam alignment target including a high atomic weight layer formed in a substrate and forming the first set of features using electron beam lithography and for aligning a second set of features of the same fabrication level of the integrated circuit chip to an optical alignment target formed in the substrate and forming the second set of features using photolithography, the optical alignment target itself is aligned to the electron beam alignment target. Also a method of forming and a structure of the electron beam alignment target.
    Type: Application
    Filed: January 2, 2007
    Publication date: July 3, 2008
    Inventors: David Michael Fried, John Michael Hergenrother, Sharee Jane McNab, Michael J. Rooks, Anna Topol
  • Publication number: 20080160714
    Abstract: A method for forming a semiconductor device comprising forming an inter-layer dielectric (ILD) layer on a semiconductor substrate; forming a first trench and second trench in a cell area on the ILD layer, wherein the second trench has a width which is wider than the first trench; forming a first metal layer on the substrate, such that the first metal layer fills the first trench and does not entirely fill the second trench; performing a planarization process on the first metal layer such that the surface of the first metal layer in the first trench and the surface of the substrate has a height which is different than the height of the surface of the first metal layer in the second trench; and forming a plurality of align key and overlay key areas by forming a second metal layer on the surface of the substrate and first metal layer.
    Type: Application
    Filed: November 21, 2007
    Publication date: July 3, 2008
    Applicant: DONGBU HITEK CO., LTD.
    Inventors: Cheon Man SHIM, Ji Ho HONG, Sang Chul KIM, Haeng Leem JEON
  • Publication number: 20080157405
    Abstract: A method for fabricating a device adapted for precision aligning integrated circuits having small-scale architecture in a stack, the method includes obtaining dimensions of the integrated circuits; fabricating a precision guide using the dimensions; and fabricating alignment fiducials into at least one of the precision guide and a carrier wafer. A method for placing integrated circuits having small-scale architecture into a stack, the method includes selecting a device adapted for precision aligning the integrated circuits into the stack and precision aligning the integrated circuits into the stack.
    Type: Application
    Filed: January 3, 2007
    Publication date: July 3, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: John U. Knickerbocker
  • Patent number: 7393754
    Abstract: A tape carrier type semiconductor device comprising: a long flexible insulating tape; and a plurality of semiconductor devices sequentially arranged on one surface of the tape, wherein each semiconductor device has a wiring pattern and a semiconductor element, and wherein each semiconductor device has either a hole or a target mark inside a predetermined region enclosed by an outline of the semiconductor device, the outline being for die-cutting into pieces, the hole being bored through the tape for indicating that the semiconductor device is a non-defective, the target mark not being bored through the tape for indicating that the semiconductor device is a defective.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: July 1, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tomohiko Iwane, Keiichi Inomo
  • Publication number: 20080153250
    Abstract: A method of indexing a plurality of dice obtained from a material wafer comprising a plurality of stacked material layers, each die being obtained in a respective die position in the wafer, the method including providing a visible index on each die indicative of the respective die position, wherein providing the visible index on each die includes: forming in a first material layer of the die a reference structure adapted to defining a mapping of the wafer; and forming in a second material layer of the die a marker associated with the reference structure, a position of the marker with respect to the reference structure being adapted to provide an indication of the die position in the wafer.
    Type: Application
    Filed: February 19, 2008
    Publication date: June 26, 2008
    Applicant: STMicroelectronics S.r.l.
    Inventors: Daniele Alfredo Brambilla, Marco Natale Valtolina
  • Publication number: 20080153249
    Abstract: A manufacturing method of a semiconductor wafer includes forming a plurality of alignment trenches in the wafer substrate. A dielectric layer is formed over the substrate filling the trenches. A planarization process is performed to remove the dielectric layer above the substrate. A photolithograph process is subsequently performed to selectively remove the dielectric layer formed in the trenches in the alignment area.
    Type: Application
    Filed: December 20, 2006
    Publication date: June 26, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chin-Cheng Yang
  • Patent number: 7390723
    Abstract: A method for stacking and bonding wafers in precision alignment by detecting alignment marks provided on wafer edges, comprising the steps of: (a) providing at least a first wafer having at least a first pattern and at least a second pattern disposed on the cross-section thereof, at least a second wafer having at least a third pattern and at least a fourth pattern disposed on the cross-section thereof, and at least a sensing device, while pairing the first pattern with the third pattern and pairing the second pattern with the fourth pattern; (b) actuating the first wafer and the second wafer for enabling the first to parallel the second wafer and to be a distance apart from the second wafer; (c) actuating the first wafer and the second wafer for bringing the two wafers to move toward each other while enabling the sensing device for detecting and determining whether or not the first pattern is in a position capable of matching with the third pattern and the second pattern in another position capable of matchin
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: June 24, 2008
    Assignee: Industrial Technology Research Institute
    Inventor: Chiu-Wang Chen
  • Patent number: 7390722
    Abstract: An oxidation process is used to produce a positional reference structure on a semiconductor wafer. A photolithographic mask layer used to define the positional reference structure can be combined with a photolithographic mask layer used to define an active device layer on the wafer, whereby both patterns can be printed in a single photolithographic operation. The same oxidation process used to produce an isolating oxide between active device regions of the active device layer can also be used to produce the positional reference structure.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: June 24, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Richard Wendell Foote, Jr.
  • Publication number: 20080142998
    Abstract: A zero-order overlay target comprises a first zero-order line array fabricated on a first layer of a semiconductor structure, the first zero-order line array having a first pitch, and a second zero-order line array fabricated on a second layer of the semiconductor structure, the second zero-order line array having a second pitch. The second pitch may be different from the first pitch, and a portion of the second zero-order line array may be positioned to become optically coupled to a portion of the first zero-order line array when subject to an overlay measurement. Further, the second pitch may be variable. For example, the variable pitch may comprise a first set of features having a pitch approximately equal to the first pitch, a second set of features having a pitch different from the first pitch, and a third set of features having a pitch approximately equal to the first pitch.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 19, 2008
    Inventors: Richard Silver, Pete Lipscomb, Bryan Barnes, Ravikirran Attota
  • Publication number: 20080145998
    Abstract: A method of fabricating an interconnect structure comprising etching a via into an upper low K dielectric layer and into a hardened portion of a lower low K dielectric layer. The via is defined by a pattern formed in a photoresist layer. The photoresist layer is then stripped, and a trench that circumscribes the via as defined by a hard mask is etched into the upper low K dielectric layer and, simultaneously, the via that was etched into the hardened portion of the lower low K dielectric layer is further etched into the lower low K dielectric layer. The result is a low K dielectric dual damascene structure.
    Type: Application
    Filed: September 13, 2006
    Publication date: June 19, 2008
    Applicant: APPLIED MATERIALS, INC.
    Inventors: GERARDO A. DELGADINO, Yan Ye, Neungho Shin, Yunsang Kim, Li-Qun Xia, Tzu-Fang Huang, Lihua Li Huang, Joey Chiu, Xiaoye Zhao, Fang Tian, Wen Zhu, Ellie Yieh
  • Publication number: 20080145999
    Abstract: A method of a semiconductor device comprises: a) depositing a first semiconductor layer and a second semiconductor layer in a semiconductor substrate in series; b) forming a first groove penetrating the first and second semiconductor layers and placed adjacent to an element region by partly etching the first and second semiconductor layers; c) forming a supporting member that supports the second semiconductor layer and covers over the second semiconductor layer and is embedded into the first groove; d) forming a second groove that exposes the first semiconductor layer from the bottom of the second semiconductor layer supported by the supporting member and is placed near the element region; and e) forming a cavity between the semiconductor substrate and the second semiconductor layer in the element region by etching the first semiconductor layer via the second groove under a specific condition in which the first semiconductor layer is easily etched, compared to the second semiconductor layer.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 19, 2008
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Juri KATO
  • Publication number: 20080135986
    Abstract: A method of forming a pre-metal dielectric (PMD) layer of a semiconductor device using a chemical mechanical polishing (CMP) process which can be suitable for easily recognizing an alignment key. Such a method can reduce or otherwise eliminate alignment key erosion due to CMP by previously forming an alignment key pattern of polysilicon in an active region of a semiconductor scribe lane.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 12, 2008
    Inventor: Sang-Tae Moon
  • Publication number: 20080138957
    Abstract: A method for aligning multiple substrates. The method includes providing a handle substrate, providing a spacer substrate, and forming a plurality of first alignment marks on a first surface of the handle substrate. The method also includes forming a plurality of self-limiting alignment marks on a first surface of the spacer substrate and forming a plurality of openings in the spacer substrate, each of the plurality of openings surrounded by standoff regions. The method further includes aligning the first surface of the handle substrate and the first surface of the spacer substrate using the first alignment marks and the self-limiting alignment marks and bonding the handle substrate to the spacer substrate to form a composite substrate structure. In a specific embodiment, the plurality of self-limiting alignment marks and the plurality of openings are formed using an anisotropic wet etching process that preferentially etches the spacer substrate.
    Type: Application
    Filed: February 19, 2008
    Publication date: June 12, 2008
    Applicant: Miradia Inc.
    Inventor: Xiao Yang
  • Publication number: 20080136049
    Abstract: A method for registering a pattern on a semiconductor wafer with an oxide surface includes etching into the surface four sets of two trenches each. Each trench in a set is parallel to the other. The trenches are configured such that each set forms one side of a box shape. The trenches are overfilled with a first metal layer, the excess of which is removed so that the height of the metal is level with the height of the oxide. An overlay setting is then obtained between a photoresist mask and the filled trenches before depositing a second metal layer over the oxide and trenches. The second metal layer is coated with the photoresist according to the overlay setting.
    Type: Application
    Filed: December 11, 2006
    Publication date: June 12, 2008
    Inventors: Chin Cheng Yang, Chih Hao Huang
  • Publication number: 20080122038
    Abstract: A semiconductor device and a method for making the semiconductor device having a guard ring formed by a trench filled with a metallic material is described. Using the trench, crack and moisture propagation may be eliminated or prevented from propagating from a dicing area to an active circuit area of a chip.
    Type: Application
    Filed: September 15, 2006
    Publication date: May 29, 2008
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Masahiro INOHARA
  • Patent number: 7379184
    Abstract: In an overlay metrology method used during semiconductor device fabrication, an overlay alignment mark facilitates alignment and/or measurement of alignment error of two layers on a semiconductor wafer structure, or different exposures on the same layer. A target is small enough to be positioned within the active area of a semiconductor device combined with appropriate measurement methods, which result in improved measurement accuracy.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: May 27, 2008
    Assignees: Nanometrics Incorporated, Industrial Research Technology Institute
    Inventors: Nigel Peter Smith, Yi-Sha Ku, Hsin Lan Pang
  • Patent number: 7371652
    Abstract: The present invention relates to positioning components of an assembly using fiducial features. A first fiducial feature on a first piece of the assembly can be located. A first component can be positioned on the first piece of the assembly based on the location of the first fiducial feature. A second fiducial feature on a second piece of the assembly can be located. The second component can be positioned relative to the second piece of the assembly based on the location of the second fiducial feature. The first piece can be positioned relative to the second piece based on the locations of the first and second fiducial features. The assembly can be an optical device. The first component can be an active optical device, the second component can be a lens, the first piece can be a package, and the second piece can be a lid.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: May 13, 2008
    Assignee: Finisar Corporation
    Inventors: Jose Joaquin Aizpuru, Danny Robert Schoening
  • Patent number: 7371655
    Abstract: A low-power CMOS device can be fabricated by forming a shallow trench on a silicon substrate using a gate mask and negative photoresist. This enables an extremely low profile for a junction after completion of lightly doped drain and source/drain implantations. The method includes forming a shallow trench in a silicon substrate.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd
    Inventor: Eun Jong Shin
  • Patent number: 7368362
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: May 6, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, Bill Stanton
  • Patent number: 7361569
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: April 22, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, Bill Stanton
  • Patent number: 7359054
    Abstract: A method of determining alignment error in electronic substrates comprises providing on a layer of a substrate a first contrasting set of elements forming a first grid pattern having a plurality of grid segments in the x and y directions. The method also includes providing nested within at least one of the first grid pattern segments, on the same or different layer of a substrate, a second contrasting set of elements forming a second grid pattern having a plurality of grid segments in the x and y directions. The method then includes determining the center of the first set of elements in the first grid pattern and determining the center of the second set of elements in the second grid pattern. The method then comprises comparing the centers of the first and second sets of elements and determining alignment error of the first and second grid patterns.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: April 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Jaime D. Morillo
  • Patent number: 7348109
    Abstract: The invention is directed to increasing the number of semiconductor dice obtained from one semiconductor wafer and enhancing the reliability and yield of the semiconductor dice when the semiconductor dice as products and TEG dice are formed on the semiconductor wafer. TEG die pattern regions are respectively placed on the top and bottom placing a plurality of semiconductor die pattern regions regularly arrayed in a longitudinal direction therebetween. The vertical length of each of the TEG die pattern regions is substantially half of the vertical length of the semiconductor die pattern region. With this reticle, two adjacent TEG die patterns respectively formed by two continuous exposure processes form the area of one semiconductor die pattern. In this manner, the area of the TEG die patterns on the semiconductor wafer is reduced and the yield of the semiconductor dice is increased correspondingly.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: March 25, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Hiroyuki Suzuki
  • Patent number: 7349140
    Abstract: A method for aligning multiple substrates. The method includes providing a handle substrate, providing a spacer substrate, and forming a plurality of first alignment marks on a first surface of the handle substrate. The method also includes forming a plurality of self-limiting alignment marks on a first surface of the spacer substrate and forming a plurality of openings in the spacer substrate, each of the plurality of openings surrounded by standoff regions. The method further includes aligning the first surface of the handle substrate and the first surface of the spacer substrate using the first alignment marks and the self-limiting alignment marks and bonding the handle substrate to the spacer substrate to form a composite substrate structure. In a specific embodiment, the plurality of self-limiting alignment marks and the plurality of openings are formed using an anisotropic wet etching process that preferentially etches the spacer substrate.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: March 25, 2008
    Assignee: Miradia Inc.
    Inventor: Xiao Yang
  • Patent number: 7348246
    Abstract: A semiconductor memory device includes a substrate having first and second source/drain regions therein and a channel region therebetween. The device also includes first and second charge storage layers on the channel region, a first insulating layer on the channel region between the first and second charge storage layers, and a gate electrode on the insulating layer opposite the channel region and between inner sidewalls of the first and second charge storage layers. The gate electrode extends away from the substrate beyond the first and second charge storage layers. The device further includes second and third insulating layers extending from adjacent the inner sidewalls of the first and second charge storage layers along portions of the gate electrode beyond the first and second charge storage layers. Related methods of fabrication are also discussed.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-min Kim, Dong-won Kim, Eun-jung Yun
  • Patent number: 7344955
    Abstract: A method (and apparatus) of replicating a pattern on a structure, includes using imprint lithography to replicate a pattern formed on a first structure onto a portion of a second structure.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: March 18, 2008
    Assignee: International Business Machines Corporation
    Inventors: Matthew E. Colburn, Yves C. Martin, Theodore G. van Kessel, Hematha K. Wickramasinghe
  • Patent number: 7346415
    Abstract: The intensity of light of a predetermined wavelength corresponding to the type of a protective tape joined to the surface of a semiconductor wafer is adjusted by a controller, and a holding stage for holding the semiconductor wafer is scanned rotationally. At this time, at a V notch portion for positioning formed in the semiconductor wafer, light is transmitted through the protective sheet covering the surface, which is received by a photoreception sensor. Based on the change in the reception amount of light in the photoreception sensor, the position of a detection site is specified.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: March 18, 2008
    Assignee: Nitto Denko Corporation
    Inventors: Satoshi Ikeda, Masayuki Yamamoto
  • Patent number: 7338885
    Abstract: In a method for manufacturing a semiconductor device having an alignment mark, a buffer layer is formed on a substrate. A trench is formed at an isolation region of the substrate. The trench is filled with an insulating layer. An alignment groove is formed on the insulating layer in a scribe lane region of the substrate. The buffer layer is removed to form an alignment pattern. An alignment mark includes the alignment pattern and the alignment groove. Therefore, the alignment pattern may be not attacked by solutions in a successive cleaning process such that the alignment mark may be not damaged and maintains its original shape.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: March 4, 2008
    Assignee: Samsnung Electronics Co., Ltd.
    Inventors: Myoung-Hwan Oh, Hee-Sung Kang, Chang-Hyun Park
  • Patent number: 7335571
    Abstract: A method for a fiducial and pin one indicator that utilizes a single solder resist opening in a die mounting substrate to perform the combined functions of prior art fiducials and pin one indicators. Methods of fabricating a carrier substrate and fabricating a semiconductor device package using the combination pin one indicator and alignment fiducial are also described.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: February 26, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Brad D. Rumsey, Matt E. Schwab
  • Patent number: 7332405
    Abstract: A semiconductor integrated circuit is fabricated in a substrate having a semiconductor layer and an underlying insulator layer. The fabrication process includes a step of locally oxidizing the semiconductor layer to form a field oxide, during which step the semiconductor layer is protected by a nitride film. The nitride film has both openings to permit local oxidization in the integrated circuit area, and an opening defining an alignment mark adjacent to the circuit area. The alignment mark may be formed either in the semiconductor and insulator layers, or in a part of the nitride film left after the nitride film is removed from the circuit area. In either case, the edge height of the alignment mark is not limited by the thickness of the semiconductor layer. Using the nitride layer to define both the alignment mark and the field oxide reduces the necessary number of fabrication steps.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: February 19, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Sachiko Yabe, Takashi Taguchi, Minoru Watanabe
  • Publication number: 20080038897
    Abstract: A semiconductor-device manufacturing method includes forming an element separating insulating film on a semiconductor substrate; forming a gate multilayer film for forming a gate electrode thereon; removing the gate multilayer film in an alignment mark forming area positioned on the element separating insulating film; forming a pattern of a first conductive film in the element forming area; forming an alignment mark of the first conductive film, used in photolithography, in the alignment mark forming area surrounded by the gate multilayer film; forming an inter-layer insulating film thereon; removing the inter-layer insulating film in the alignment mark forming area, so that it remains on the gate multilayer film around the alignment mark forming area; removing or thinning the element separating insulating film around the alignment mark; and forming a pattern of a second conductive film on the inter-layer insulating film by performing alignment of the photolithography by using the alignment mark.
    Type: Application
    Filed: August 6, 2007
    Publication date: February 14, 2008
    Inventors: Kazushi Suzuki, Hiroshi Yoshino, Yoshihiro Takaishi
  • Patent number: 7330261
    Abstract: A marker structure on a substrate for optical alignment of the substrate includes a plurality of first structural elements and a plurality of second structural elements. In use, the marker structure allows the optical alignment based upon providing at least one light beam directed on the marker structure, detecting light received from the marker structure at a sensor, and determining alignment information from the detected light, the alignment information comprising information relating a position of the substrate to the sensor.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: February 12, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Paul Christiaan Hinnen, Sanjay Lalbahadoersing, Henry Megens, Maurits Van Der Schaar
  • Patent number: 7323393
    Abstract: An integrated circuit capable of operating despite a profile shift is disclosed. Overlay marks on the integrated circuit are surrounded by a trench that tends to relieve the effect of a profile shift caused by stress applied to the integrated circuit. The position of the overlay marks tends, therefore, not to be affected by the stress.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: January 29, 2008
    Assignee: Macronix International Co., Ltd.
    Inventors: Yu-Lin Yen, Ching-Yu Chang