Having Substrate Registration Feature (e.g., Alignment Mark) Patents (Class 438/401)
  • Publication number: 20140349462
    Abstract: A semiconductor substrate (1) is provided with a structure (3) on an upper side (2), and an additional substrate (4) provided for handling the semiconductor substrate is likewise structured on an upper side (5). The structuring of the additional substrate takes place in at least partial correspondence with the structure of the semiconductor substrate. The structured upper sides of the semiconductor substrate and the additional substrate are positioned such that they face one another and are permanently connected to one another. Subsequently, the semiconductor substrate is thinned from the rear side (6), and the additional substrate is removed at least to such a degree that the structure of the semiconductor substrate is exposed to the extent required for the further use.
    Type: Application
    Filed: September 18, 2012
    Publication date: November 27, 2014
    Applicant: ams AG
    Inventors: Bernhard Stering, Jörg Siegert, Bernhard Löffler
  • Publication number: 20140349439
    Abstract: A method of manufacturing an electronic device includes forming a structure including a member, and a first film arranged on at least a surface of the member, the member including an insulating film, a passivation film arranged on the insulating film and having an upper surface, and a trench positioned from the passivation film to the insulating film; forming a second film to cover the first film; and patterning the second film by a photolithography process using a photomask. In the forming the second film, an alignment mark including a concave portion corresponding to the trench is formed in a region above the trench in the second film. In the patterning the second film, the photomask is aligned with the structure by using the alignment mark.
    Type: Application
    Filed: May 13, 2014
    Publication date: November 27, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Masahiko Kondo, Masaki Kurihara
  • Patent number: 8895408
    Abstract: A method of layout of pattern includes the following processes. A graphic data of a first wiring in a first area of a semiconductor wafer is extracted. The first area is a semiconductor chip forming area. The first area is surrounded by a scribed area of the semiconductor wafer. The first area includes a second area. The second area is bounded with the scribed area. The second area has a second distance from a boundary between the semiconductor chip forming area and the scribed area to an boundary between the first area and the second area. A first dummy pattern in the first area is laid out. The first dummy pattern has at least a first distance from the first wiring. A second dummy pattern in the second area is laid out. The second dummy pattern has at least the first distance from the first wiring. The second dummy pattern has at least a third distance from the first dummy pattern.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: November 25, 2014
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Michio Inoue, Yorio Takada
  • Patent number: 8896137
    Abstract: A solid-state image pickup device includes: a silicon layer; a pixel portion formed in the silicon layer for processing and outputting signal charges obtained by carrying out photoelectric conversion for incident lights; an alignment mark formed in a periphery of the pixel portion and in the silicon layer; and a contact portion through which a first electrode within a wiring layer formed on a first surface of the silicon layer, and a second electrode formed on a second surface opposite to the first surface of the silicon layer through an insulating film are connected, wherein the alignment mark and the contact portion are formed from conductive layers made of the same conductive material and formed within respective holes each extending completely through the silicon layer through respective insulating layers made of the same material.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: November 25, 2014
    Assignee: Sony Corporation
    Inventors: Keiichi Nakazawa, Takayuki Enomoto
  • Patent number: 8896136
    Abstract: In accordance with an embodiment, a structure comprises a substrate having a first area and a second area; a through substrate via (TSV) in the substrate penetrating the first area of the substrate; an isolation layer over the second area of the substrate, the isolation layer having a recess; and a conductive material in the recess of the isolation layer, the isolation layer being disposed between the conductive material and the substrate in the recess.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: November 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Yu Tsai, Shih-Hui Wang, Chien-Ming Chiu, Chia-Ho Chen, Fang Wen Tsai, Weng-Jin Wu, Jing-Cheng Lin, Wen-Chih Chiou, Shin-Puu Jeng, Chen-Hua Yu
  • Patent number: 8895404
    Abstract: A method of back-side patterning of a silicon wafer is disclosed, which includes: depositing a protective layer on a front side of a silicon wafer; forming one or more deep trenches through the protective layer and extending into the silicon wafer by a depth greater than a target thickness of the silicon wafer; flipping over the silicon wafer and bonding the front side of the silicon wafer with a carrier wafer; polishing a back side of the silicon wafer; performing alignment by using the one or more deep trench alignment marks and performing back-side patterning process on the back side of the silicon wafer; and de-bonding the silicon wafer with the carrier wafer.
    Type: Grant
    Filed: August 14, 2013
    Date of Patent: November 25, 2014
    Assignee: Shanghai Hua Hong Nec Electronics Co. Ltd.
    Inventors: Lei Wang, Xiaobo Guo
  • Publication number: 20140342525
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an alignment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench is formed in an alignment region of an N?-type layer formed on an N+-type substrate. This trench is used to leave voids after the formation of a P?-type epitaxial film on the N?-type layer. Then, the voids formed in the N?-type layer can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Application
    Filed: July 31, 2014
    Publication date: November 20, 2014
    Inventors: Syouji NOGAMI, Tomonori YAMAOKA, Shoichi YAMAUCHI, Nobuhiro TSUJI, Toshiyuki MORISHITA
  • Publication number: 20140342526
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an alignment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench is formed in an alignment region of an N?-type layer formed on an N+-type substrate. This trench is used to leave voids after the formation of a P?-type epitaxial film on the N?-type layer. Then, the voids formed in the N?-type layer can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Application
    Filed: July 31, 2014
    Publication date: November 20, 2014
    Inventors: Syouji NOGAMI, Tomonori YAMAOKA, Shoichi YAMAUCHI, Nobuhiro TSUJI, Toshiyuki MORISHITA
  • Patent number: 8883608
    Abstract: An alignment mark is formed on a substrate including a first region and a second region. The alignment mark is formed in the second region. An etch target layer including a crystalline material is formed on the alignment mark and the substrate. The etch target layer in the first region is partially amorphized. The amorphized etch target layer is etched to form an opening.
    Type: Grant
    Filed: May 15, 2013
    Date of Patent: November 11, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Hoon Kang, Tae-Gon Kim, Han-Mei Choi, Eun-Young Jo
  • Patent number: 8884347
    Abstract: The present disclosure provides a method of manufacturing a photoelectric conversion device, including, a first step of forming a plurality of photoelectric conversion regions on a surface on one side of a semiconductor wafer, a second step of preparing a light-blocking wafer having insertion openings, a third step of bonding the one-side surface of the semiconductor wafer and a surface on the opposite side to a surface on the one side of the light-blocking wafer to each other to form a bonded wafer body, and a fourth step of dividing the bonded wafer body in peripheries of the photoelectric conversion regions, to obtain bonded-body chips each having the photoelectric conversion region.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: November 11, 2014
    Assignee: Sony Corporation
    Inventor: Yasuhide Nihei
  • Patent number: 8871605
    Abstract: A method of orienting a semiconductor wafer. The method includes rotating a wafer about a central axis; exposing a plurality of edge portions of the rotating wafer to light having a predetermined wavelength from one or more light sources; detecting a subsurface mark in one of the plurality of edge portions of the rotating wafer; and orienting the wafer using the detected subsurface mark as a reference.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: October 28, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Ming Lin, Wan-Lai Chen, Chia-Hung Huang, Chi-Ming Yang, Chin-Hsiang Lin
  • Publication number: 20140312454
    Abstract: Devices and methods for pattern alignment are disclosed. The device includes an assembly isolation region, a seal ring region around the assembly isolation region, and a scribe line region around the seal ring region, and a plurality of die alignment marks disposed within the seal ring region that are alternately disposed adjacent the scribe line region and the assembly isolation region.
    Type: Application
    Filed: June 30, 2014
    Publication date: October 23, 2014
    Inventor: Hsien-Wei Chen
  • Publication number: 20140306357
    Abstract: A dicing die-bonding film and a method of forming a groove in a dicing die-bonding film, the film including a base film; a pressure-sensitive adhesive layer stacked on the base film; and a bonding layer stacked on the pressure-sensitive adhesive layer, wherein the pressure-sensitive adhesive layer includes a first region overlapping with the bonding layer, and a second region not overlapping with the bonding layer, the second region including a third region adjacent to the first region, and a fourth region adjacent to the third region and having a groove formed therein.
    Type: Application
    Filed: June 26, 2014
    Publication date: October 16, 2014
    Inventors: Baek Soung PARK, Jae Won CHOI, Sung Min KIM, In Hwan KIM, Jun Woo LEE, Su MI IM
  • Patent number: 8853087
    Abstract: A target space ratio of a monitor pattern on a substrate for inspection is determined to be different from a ratio of 1:1. A range of space ratios in a library is determined to include the target space ratio and not include a space ratio of 1:1. The monitor pattern is formed on a film to be processed by performing predetermined processes on the substrate for inspection. Sizes of the monitor pattern are measured. The sizes of the monitor pattern are converted into sizes of a pattern of the film to be processed having a space ratio of 1:1, and processing conditions of the predetermined processes are compensated for based on the sizes of the converted pattern of the film to be processed. After that, the predetermined processes are performed on a wafer under the compensated conditions to form a pattern having a space ratio of 1:1 on the film to be processed.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Keisuke Tanaka, Machi Moriya
  • Publication number: 20140287566
    Abstract: A semiconductor chip includes a first mark for identifying a position of the chip within an exposure field. The semiconductor chip includes a first matrix in a first layer of the chip and a second mark within the first matrix identifying a position of the exposure field on a wafer.
    Type: Application
    Filed: June 9, 2014
    Publication date: September 25, 2014
    Inventor: Joerg Ortner
  • Patent number: 8841199
    Abstract: A method of forming a semiconductor device is provided. The method includes preparing a substrate having a transistor region and an alignment region, forming a first trench and a second trench in the substrate of the transistor region and in the substrate of the alignment region, respectively, forming a drift region in the substrate of the transistor region, forming two third trenches respectively adjacent to two ends of the drift region, and forming an isolation pattern in the first trench, a buried dielectric pattern in the second trench, and dielectric patterns in the two third trenches, respectively. A depth of the first trench is less than a depth of the third trenches, and the depth of the first trench is equal or substantially equal to a depth of the second trench.
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: September 23, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yongdon Kim
  • Patent number: 8841784
    Abstract: A semiconductor apparatus includes a semiconductor substrate having a main surface, a multilayer structure circuit formed over the main surface of the semiconductor substrate, a protective wall formed in the same layer as an uppermost layer of the multilayer structure circuit so as to surround the multilayer structure circuit in plan view, and an alignment mark formed in the same layer as the uppermost layer. The alignment mark is formed so as to contact at least part of the protective wall.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: September 23, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Masahiro Ishida
  • Publication number: 20140264631
    Abstract: One illustrative method disclosed herein includes forming a plurality of spaced-apart fin structures in a semiconductor substrate, wherein the fin structures define a portion of an alignment/overlay mark trench where at least a portion of an alignment/overlay mark will be formed, forming at least one layer of insulating material that overfills the alignment/overlay mark trench and removing excess portions of the layer of insulating material positioned above an upper surface of the plurality of fins to thereby define at least a portion of the alignment/overlay mark positioned within the alignment/overlay mark trench. A device disclosed herein includes a plurality of spaced-apart fin structures formed in a semiconductor substrate so as to partially define an alignment/overlay mark trench, an alignment/overlay mark consisting only of at least one insulating material positioned within the alignment/overlay mark trench, and a plurality of FinFET semiconductor devices formed in and above the substrate.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Andy C. Wei, Jeong Soo Kim, Francis M. Tambwe
  • Publication number: 20140264961
    Abstract: A method and apparatus for alignment are disclosed. An exemplary apparatus includes an overlay mark formed on a substrate; and a plurality of dummy features formed nearby the overlay mark. The dummy features have dimensions below a minimum resolution of an alignment detection tool. A minimum distance separating the overlay mark from its closest dummy feature is correlated to a semiconductor fabrication technology generation under which the overlay mark is formed.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Inventor: Wei-Chieh Huang
  • Patent number: 8835276
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an alignment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench is formed in an alignment region of an N+-type substrate. This trench is used to leave voids after the formation of an N?-type layer. Then, the voids formed in the N+-type substrate can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: September 16, 2014
    Assignees: Sumco Corporation, Denso Corporation
    Inventors: Syouji Nogami, Tomonori Yamaoka, Shoichi Yamauchi, Nobuhiro Tsuji, Toshiyuki Morishita
  • Patent number: 8835239
    Abstract: Various aspects of the technology include a quad semiconductor power and/or switching FET comprising a pair of control/sync FET devices. Current may be distributed in parallel along source and drain fingers. Gate fingers and pads may be arranged in a serpentine configuration for applying gate signals to both ends of gate fingers. A single continuous ohmic metal finger includes both source and drain regions and functions as a source-drain node. A set of electrodes for distributing the current may be arrayed along the width of the source and/or drain fingers and oriented to cross the fingers along the length of the source and drain fingers. Current may be conducted from the electrodes to the source and drain fingers through vias disposed along the surface of the fingers. Heat developed in the source, drain, and gate fingers may be conducted through the vias to the electrodes and out of the device.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: September 16, 2014
    Assignee: Sarda Technologies, Inc.
    Inventor: James L. Vorhaus
  • Patent number: 8837810
    Abstract: A method of determining overlay error in semiconductor device fabrication includes receiving an image of an overlay mark formed on a substrate. The received image is separated into a first image and a second image, where the first image includes representations of features formed on a first layer of the substrate and the second image includes representations of the features formed on a second layer of the substrate. A quality indicator is determined for the first image and a quality indicator is determined for the second image. In an embodiment, the quality indicators include asymmetry indexes.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Liang Chen, Te-Chih Huang, Chen-Ming Wang, Chih-Ming Ke, Tsai-Sheng Gau
  • Patent number: 8796088
    Abstract: A semiconductor device and a method of fabricating the semiconductor device is provided. In the method, a semiconductor substrate defining a device region and an outer region at a periphery of the device region is provided, an align trench is formed in the outer region, a dummy trench is formed in the device region, an epi layer is formed over a top surface of the semiconductor substrate and within the dummy trench, a current path changing part is formed over the epi layer, and a gate electrode is formed over the current path changing part. When the epi layer is formed, a current path changing trench corresponding to the dummy trench is formed over the epi layer, and the current path changing part is formed within the current path changing trench.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: August 5, 2014
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chul Jin Yoon
  • Publication number: 20140210113
    Abstract: When opaque films are deposited on semi-conductor wafers, underlying alignment marks may be concealed. The re-exposure of such alignment marks is one source of resulting surface topography. In accordance with one implementation, alignment marks embedded in a wafer may be exposed by removing material from one or more layers and by replacing such material with a transparent material. In accordance with another implementation, the amount of material removed in an alignment mark recovery process may be mitigated by selectively ashing or etching above a stop layer.
    Type: Application
    Filed: January 30, 2013
    Publication date: July 31, 2014
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Dongsung Hong, Lijuan Zou, Daniel Sullivan, Lily Horng Youtt
  • Publication number: 20140206172
    Abstract: An alignment mark includes a plurality of mark units. Each mark unit includes a first element and a plurality of second elements. Each second element includes opposite first and second end portions. The plurality of second elements are arranged along a direction. The first element extends adjacent to the first end portions of the plurality of second elements and parallel to the direction of the plurality of second elements.
    Type: Application
    Filed: March 21, 2014
    Publication date: July 24, 2014
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: CHEN KU CHIANG, YUAN HSUN WU
  • Publication number: 20140206173
    Abstract: Forming an alignment mark on a semiconductor structure using an optical lithography to form a metal alignment mark on a substrate of the structure, using the formed metal alignment mark to form a first feature of a semiconductor device being formed on the substrate using optical lithography, and using the formed metal alignment mark to form a second, different feature for the semiconductor using electron beam lithography. In one embodiment, the first feature is an ohmic contact, the second feature is a Schottky contact, the metal alignment mark is a refractory metal or a refractory metal compound having an atomic weight greater than 60 such as TaN and the semiconductor device is a GaN semiconductor device. A semiconductor structure having a metal alignment mark on a zero layer of the structure, the metal alignment mark is a TaN and the semiconductor is GaN.
    Type: Application
    Filed: March 26, 2014
    Publication date: July 24, 2014
    Applicant: RAYTHEON COMPANY
    Inventors: Paul J. Duval, Kamal Tabatabaie, William J. Davis
  • Patent number: 8785930
    Abstract: Indexing a plurality of die obtainable from a material wafer comprising a plurality of stacked material layers. Each die is obtained in a respective position of the wafer. A manufacturing stage comprises at least two steps for treating a respective superficial portion of the material wafer that corresponds to a subset of said plurality of dies using the at least one lithographic mask through the exposition to the proper radiation in temporal succession. The method may include providing a die index on each die which is indicative of the position of the respective die by forming an external index indicative of the position of the superficial portion of the material wafer corresponding to the subset of the plurality of dies including said die and may comprise a plurality of electronic components electrically coupled to each other by means of a respective common control line.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: July 22, 2014
    Assignee: STMicroelectronics S.r.l.
    Inventors: Daniele Alfredo Brambilla, Fausto Redigolo
  • Patent number: 8778748
    Abstract: A method for manufacturing a semiconductor device includes forming a source electrode and a drain electrode on a front face of a semiconductor substrate which is transparent to visible light, forming a front-side gate electrode between the source electrode and the drain electrode on the front face of the semiconductor substrate; forming an aligning mark on a region of the front face of the semiconductor substrate other than a region between the source electrode and the drain electrode, aligning the semiconductor substrate based on the aligning mark that is seen through the semiconductor substrate, and forming a back-side gate electrode on a back face of the semiconductor substrate in a location opposite the front-side gate electrode.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: July 15, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventor: Yoshitaka Kamo
  • Patent number: 8772072
    Abstract: A backside illuminated image sensor includes a light receiving element disposed in a first substrate, an interlayer insulation layer disposed on the first substrate having the light receiving element, an align key spaced apart from the light receiving element and passing through the interlayer insulation layer and the first substrate, a plurality of interconnection layers disposed on the interlayer insulation layer in a multi-layered structure, wherein the backside of the lowermost interconnection layer is connected to the align key, a passivation layer covering the interconnection layers, a pad locally disposed on the backside of the first substrate and connected to the backside of the align key, a light anti-scattering layer disposed on the backside of the substrate having the pad, and a color filter and a microlens disposed on the light anti-scattering layer to face the light receiving element.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: July 8, 2014
    Assignee: Intellectual Ventures II LLC
    Inventor: Sung-Gyu Pyo
  • Patent number: 8772125
    Abstract: A method of double-sided patterning including positioning a first silicon wafer with its back side facing upwards and forming one or more deep trenches serving as alignment marks on the back side of the first silicon wafer; performing alignment with respect to the alignment marks and forming a back-side pattern on the first silicon wafer; depositing a polishing stop layer on the back side of the first silicon wafer; flipping over the first silicon wafer and bonding its back side with the front side of a second silicon wafer; polishing the front side of the first silicon wafer to expose the alignment marks from the front side; performing alignment with respect to the alignment marks and forming a front-side pattern on the first silicon wafer; removing the second silicon wafer and the polishing stop layer to obtain a double-sided patterned structure on the first silicon wafer.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: July 8, 2014
    Assignee: Shanghai Hua Hong Nec Electronics Co., Ltd.
    Inventors: Lei Wang, Xiaobo Guo
  • Patent number: 8765575
    Abstract: A method for forming a trench filled with an insulator crossing a single-crystal silicon layer and a first SiO2 layer and penetrating into a silicon support, this method including the steps of forming on the silicon layer a second SiO2 layer and a first silicon nitride layer, forming the trench, and performing a first oxidizing processing to form a third SiO2 layer; performing a second oxidizing processing to form, on the exposed surfaces of the first silicon nitride layer a fourth SiO2 layer; depositing a second silicon nitride layer and filling the trench with SiO2; and removing the upper portion of the structure until the upper surface of the silicon layer is exposed.
    Type: Grant
    Filed: April 2, 2013
    Date of Patent: July 1, 2014
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Daniel Benoit, Laurent Favennec
  • Publication number: 20140175594
    Abstract: Methods for forming RX pads having gate alignment marks configured to enable noise reduction between layers while resulting in little or no non-uniformity of CMP processes for the IC, and the resulting devices, are disclosed. Embodiments include: providing, on a substrate, a RX pad having a SPM with a SPM horizontal and vertical positions at horizontal and vertical midpoints, respectively, of the first RX pad; providing a second RX pad abutting the first RX pad and a first STI pad abutting the second RX pad, each having a vertical midpoint at the SPM vertical position; forming a first gate alignment mark on the second RX pad and having vertical endpoints horizontally aligned with vertical endpoints of the second RX pad; and forming a second gate alignment mark on the first STI pad and having vertical endpoints horizontally aligned with vertical endpoints of the first STI pad.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Ming Hao TANG, Michael Hsieh, Frank Kahlenberg
  • Publication number: 20140175657
    Abstract: Apparatus including a die including a device side with contact points; and a build-up carrier disposed on the device side of the die; and a film disposed on the back side of the die, the film including a markable material including a mark contrast of at least 20 percent. Method including forming a body of a build-up carrier adjacent a device side of a die; and forming a film on a back side of the die, the film including a markable material including a mark contrast of at least 20 percent. Apparatus including a package including a microprocessor disposed in a carrier; a film on the back side of the microprocessor, the film including a markable material including a mark contrast of at least 20 percent; and a printed circuit board coupled to at least a portion of the plurality of conductive posts of the carrier.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Inventors: Mihir A. Oka, Rahul N. Manepalli, Dingying Xu, Yosuke Kanaoka, Sergei L. Voronov, Dong Hai Sun
  • Patent number: 8754538
    Abstract: A semiconductor chip includes a first mark for identifying a position of the chip within an exposure field. The semiconductor chip includes a first matrix in a first layer of the chip and a second mark within the first matrix identifying a position of the exposure field on a wafer.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: June 17, 2014
    Assignee: Infineon Technologies AG
    Inventor: Jörg Ortner
  • Patent number: 8741668
    Abstract: A thin overlay structure for use in imaging based metrology is disclosed. The thin overlay structure may include a first structure and second structure, the first and second structures designed to have a common center of symmetry, both structures being invariant to a 180 degree rotation about the common center of symmetry, wherein a mark region defining the extent of the structures is characterized by a first direction and a second direction orthogonal to the first direction, a length of the mark region along the first direction being greater than a length of the mark region along the second direction.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: June 3, 2014
    Assignee: KLA-Tencor Corporation
    Inventor: Mark Ghinovker
  • Patent number: 8741721
    Abstract: A semiconductor device and manufacturing method thereof capable of improving an operating speed of a MOSFET using an inexpensive structure. The method comprises the steps of forming a stress film to cover a source, drain, sidewall insulating layer and gate of the MOSFET and forming in the stress film a slit extending from the stress film surface toward the sidewall insulating layer. As a result, an effect of allowing local stress components in the stress films on the source and the drain to be relaxed by local stress components in the stress film on the gate is suppressed by the slit.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: June 3, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naoyoshi Tamura
  • Patent number: 8742599
    Abstract: A method and system for uniquely identifying each semiconductor device die from a wafer is provided. Identifying features are associated with device die bond pads. In one embodiment, one or more tab features are patterned and associated with each of one or more device die bond pads. These features can represent a code (e.g., binary or ternary) that uniquely identifies each device die on the wafer. Each tab feature can be the same shape or different shapes, depending upon the nature of coding desired. Alternatively, portions of the one or more device die bond pads can be omitted as a mechanism for providing coded information, rather than adding portions to the device die bond pads.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: June 3, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Colby G. Rampley, Lawrence S. Klingbeil
  • Publication number: 20140147984
    Abstract: A method of fabricating a through silicon via structure includes the following steps. At first, a substrate is provided, and a dielectric layer is formed on the substrate. Subsequently, at least one first opening is formed in the dielectric layer, and the substrate exposed by the first opening is partially removed to form at least one via opening. A conductive material layer is then formed to fill the via opening and the first opening, and the conductive material layer is planarized.
    Type: Application
    Filed: November 27, 2012
    Publication date: May 29, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: Jubao Zhang
  • Patent number: 8735180
    Abstract: A stacking apparatus that stacks chip assemblies each having a plurality of chips disposed continuously with circuit patterns and electrodes, includes: a plurality of stages each allowed to move arbitrarily, on which the chip assemblies are placed; a storage unit that stores an estimated extent of change in a position of an electrode at each chip, expected to occur as heat is applied to the chip assemblies placed on the plurality of stages during a stacking process; and a control unit that sets positions of the plurality of stages to be assumed relative to each other during the stacking process based upon the estimated extent of change in the position of the electrode at each chip provided from the storage unit and position information indicating positions of individual chips formed at the chip assemblies and controls at least one of the plurality of stages.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: May 27, 2014
    Assignee: Nikon Corporation
    Inventor: Kazuya Okamoto
  • Patent number: 8736082
    Abstract: In various embodiments, an assembly having a microstructure is provided, the device includes a cylindrical capture receptacle associated with a substrate, the capture receptacle comprising of a material having an expansion coefficient and comprising alignment structures having alignment projections extending inward from a periphery of the cylindrical capture receptacle. In one embodiment, the projections include a large width alignment projection and plurality of small width alignment projections. A plurality of medium width alignment projections also may be provided. A cylindrical key is associated with the microstructure and has a smaller circumference than the cylindrical capture receptacle and is comprised of a material having an expansion coefficient greater than the expansion coefficient of the cylindrical capture receptacle. The cylindrical key includes alignment receptacles spaced about a periphery of the cylindrical base to receive corresponding alignment projections.
    Type: Grant
    Filed: October 25, 2008
    Date of Patent: May 27, 2014
    Assignee: HRL Laboratories, LLC
    Inventor: Peter D. Brewer
  • Patent number: 8728903
    Abstract: A first isolation is formed on a semiconductor substrate, and a first element region is isolated via the first isolation. A first gate insulating film is formed on the first element region, and a first gate electrode is formed on the first gate insulating film. A second isolation is formed on the semiconductor substrate, and a second element region is isolated via the second isolation. A second gate insulating film is formed on the second element region, and a second gate electrode is formed on the second gate insulating film. A first oxide film is formed between the first isolation and the first element region. A second oxide film is formed between the second isolation and the second element region. The first isolation has a width narrower than the second isolation, and the first oxide film has a thickness thinner than the second oxide film.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: May 20, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshitake Yaegashi, Junichi Shiozawa
  • Patent number: 8730473
    Abstract: Provided is an alignment mark having a plurality of sub-resolution elements. The sub-resolution elements each have a dimension that is less than a minimum resolution that can be detected by an alignment signal used in an alignment process. Also provided is a semiconductor wafer having first, second, and third patterns formed thereon. The first and second patterns extend in a first direction, and the third pattern extend in a second direction perpendicular to the first direction. The second pattern is separated from the first pattern by a first distance measured in the second direction. The third pattern is separated from the first pattern by a second distance measured in the first direction. The third pattern is separated from the second pattern by a third distance measured in the first direction. The first distance is approximately equal to the third distance. The second distance is less than twice the first distance.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: May 20, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ya Hui Chang, Ru-Gun Liu, Tsong-Hua Ou, Ken-Hsien Hsieh, Burn Jeng Lin
  • Publication number: 20140131814
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first region and a second region, the first and second regions being isolated from each other, a plurality of transistors formed in the first region, an alignment mark formed in the second region, the alignment mark having a plurality of active regions in a first direction, and a dummy gate structure formed over the alignment mark, the dummy gate structure having a plurality of lines in a second direction different from the first direction.
    Type: Application
    Filed: November 26, 2013
    Publication date: May 15, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Liang Shen, Ming-Yuan Wu, Chiung-Han Yeh, Kong-Beng Thei, Harry-Hak-Lay Chuang
  • Patent number: 8722506
    Abstract: The invention relates to production of alignment marks on a semiconductor wafer with the use of a light-opaque layer (17), wherein, before the light-opaque layer (17) is applied, by means of the etching of cavities, free-standing pillar groups are produced in the cavities and then the light-opaque layer (17) is applied. The pillars are produced with a height of above 1 ?m, which, moreover, is greater than a thickness of the light-opaque layer (17) to be applied in the cavities as layer portions (17x; 17y). The cavities are formed with a width such that they are filled only partly with the layer portions (17x; 17y) when the light-opaque layer (17) is applied. The high, freely positioned alignment marks produced by the method as pillar series (16x; 16y), having a plurality of individual pillars (16a; 16a?) in a cavity (12a, 12y), of a scribing trench on the semiconductor wafer are likewise described.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: May 13, 2014
    Assignee: X-Fab Semiconductor Foundries AG
    Inventors: Steffen Reymann, Gerhard Fiehne, Uwe Eckoldt
  • Patent number: 8722507
    Abstract: A method for forming an identification mark on a silicon carbide single crystal substrate according to the present invention includes: (a) scanning a principal surface of a silicon carbide single crystal substrate with a laser beam at a first energy density such that a groove is formed in the principal surface of the silicon carbide single crystal substrate, thereby forming an identification mark which is constituted of one or more grooves in the principal surface of the silicon carbide single crystal substrate; and (b) scanning an inside of the groove formed in the principal surface of the silicon carbide single crystal substrate with a laser beam at a second energy density that is lower than the first energy density.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: May 13, 2014
    Assignee: Hitachi Metals, Ltd.
    Inventor: Sadahiko Kondo
  • Patent number: 8709908
    Abstract: A system and method of manufacturing a semiconductor device lithographically and an article of manufacture involving a lithographic double patterning process having a dye added to either the first or second lithographic pattern are provided. The dye is used to detect the location of the first lithographic pattern and to directly align the second lithographic pattern to it. The dye may be fluorescent, luminescent, absorbent, or reflective at a specified wavelength or a given wavelength band. The wavelength may correspond to the wavelength of an alignment beam. The dye allows for detection of the first lithographic pattern even when it is over coated with a radiation sensitive-layer (e.g., resist).
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: April 29, 2014
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Harry Sewell, Mircea Dusa, Richard Johannes Franciscus Van Haren, Manfred Gawein Tenner, Maya Angelova Doytcheva
  • Patent number: 8709909
    Abstract: A method for manufacturing a substrate for a display device comprises forming a first pattern within an active region of the substrate and at the same time forming a first overlay pattern at corner regions of the active region; and forming a second pattern within the active region of the substrate and at the same time forming a second overlay pattern at corner regions of the active region, wherein the first overlay pattern includes gradations arranged in a predetermined direction, and the second overlay pattern includes gradations arranged in the predetermined direction to face the gradations of the first overlay pattern.
    Type: Grant
    Filed: October 3, 2012
    Date of Patent: April 29, 2014
    Assignee: LG Display Co., Ltd.
    Inventors: Youn-Oh Kim, Jong-Chun Lim, Jae-Hyun You
  • Publication number: 20140103547
    Abstract: An alignment key of a semiconductor device includes: a material layer formed at a scribe region of a semiconductor substrate, a first dummy hole and a second dummy hole passing through the material layers, a first channel insulation layer formed inside the first dummy hole, a second channel insulation layer formed inside the second dummy hole, a first capping layer formed on a side wall of an upper portion of the first dummy hole and an upper portion of the first channel insulation layer, and a second capping layer formed on a side wall of an upper portion of the second dummy hole and an upper portion of the channel insulation layer, having a height of a lower surface portion greater than that of a lower surface portion of the first capping layer.
    Type: Application
    Filed: December 18, 2012
    Publication date: April 17, 2014
    Applicant: SK HYNIX INC.
    Inventors: Woo Yung JUNG, Yong Hyun LIM, Jung A. YOO
  • Patent number: 8691658
    Abstract: A method for aligning an electronic CMOS structure with respect to a buried structure in the case of a bonded and thinned back stack of semiconductor wafers. The method for aligning the electronic CMOS structure may include forming alignment marks in the process of fabricating the structure to be buried on a front side, which is used for bonding of the semiconductor wafer, which includes the structure to be buried. The alignment marks may be formed on the edge of the semiconductor wafer. The method for aligning the electronic CMOS structure may include providing a cover wafer with first thinned portions of the wafer thickness provided from the bonding side at positions corresponding to positions of the alignment marks.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: April 8, 2014
    Assignee: X-Fab Semiconductor Foundries AG
    Inventors: Holger Klingner, Jens Ungelenk
  • Publication number: 20140092573
    Abstract: In one embodiment, a load frame and an integrated circuit device are aligned, with a base frame carried on a substrate, along a first alignment axis defined by a first alignment post extending from the base frame to the load frame, in a direction transverse to the substrate, and a first biasing device carried on the base frame is actuated to engage and bias the load frame toward the base frame aligned with the load frame, and to bias the integrated circuit toward the substrate. A latch latches the load and base frames together, aligned with and biased towards each other with the integrated circuit device and the substrate aligned with, and biased toward each other. Other aspects and features are also described.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Inventors: David J. LLAPITAN, Neal E. ULEN, Jeffory L. SMALLEY