Including Multiple Implantation Steps Patents (Class 438/527)
  • Patent number: 6242288
    Abstract: The collector (anode) of a non punch through IGBT formed in a float zone silicon monocrystaline wafer is formed with a DMOS top structure and is thereafter ground at its bottom surface to a less than 250 micron thickness. A shallow P type implant is then made in the bottom surface and the wafer is then heated in vacuum to about 400° C. for about 30 to 60 seconds to remove moisture and other contaminants from the bottom surface. An aluminum layer is then sputtered on the bottom surface, followed by other metals to form the bottom electrode. No activation anneal is necessary to activate the weak collector junction.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: June 5, 2001
    Assignee: International Rectifier Corp.
    Inventors: Richard Francis, Chiu Ng
  • Publication number: 20010001694
    Abstract: In one aspect, the invention includes a method of maintaining dimensions of an opening in a semiconductive material stencil mask comprising providing two different dopants within a periphery of the opening, the dopants each being provided to a concentration of at least about 1017 atoms/cm3.
    Type: Application
    Filed: December 12, 2000
    Publication date: May 24, 2001
    Inventor: J. Brett Rolfson
  • Patent number: 6235568
    Abstract: The present invention describes an MOS device having deposited silicon regions and its a method of fabrication. In one embodiment of the present invention a substrate having a thin oxide layer formed on a silicon surface is heated and exposed to an ambient comprising germane (GeH4) to remove the thin oxide from the silicon surface. A silicon or silicon alloy film can then be deposited onto the silicon surface of the substrate.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: May 22, 2001
    Assignee: Intel Corporation
    Inventors: Anand Murthy, Chia-Hong Jan, Ebrahim Andideh, Kevin Weldon
  • Patent number: 6232166
    Abstract: Halo implant regions are formed in a P-channel semiconductor device employing a zero degree tilt angle. N-type impurities are ion implanted to the desired depth in the semiconductor substrate prior to forming P-channel lightly doped source/drain areas. Subsequently, moderately or heavily doped source/drain regions are formed, followed by activation annealing. The halo implants diffuse to form halo structures at the desired location, thereby reducing short channel effects, such as subsurface punchthrough. Other embodiments enable independent control of the junction depths and channel lengths of N- and P-channel transistors, while maintaining high manufacturing throughput.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: May 15, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dong-Hyuk Ju, Scott Luning
  • Patent number: 6218226
    Abstract: The invention discloses a method of forming an ESD protection device without adding the extra mask layers into the traditional CMOS process. At first, P-wells, N-wells, and isolations are formed in a semiconductor substrate. Next, an NMOS transistor with a gate dielectric layer, a gate electrode, source/drain regions, lightly doped source/drain regions, and insulator spacers is formed on the substrate. Particularly, N-wells are also formed in a part of the source/drain regions of the NMOS transistor. Thereafter, ESD protection regions are formed under the source/drain regions by performing P+ ESD protection implantation. Such ESD protection device has a low junction breakdown voltage, quick response speed, and a small junction capacitance.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: April 17, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Geeng-Lih Lin, Ming-Dou Der
  • Patent number: 6214668
    Abstract: A channel write/erase flash memory cell structure together with its method of manufacture and mode of operation. The flash memory cell structure is formed by implanting P-type ions into a substrate to form a shallow-doped region, and then implanting N-type ions to form the drain terminal of the flash memory cell. Next, a deep-doped region that acts as a P-well is formed underneath the drain terminal. Method of manufacturing the channel write/erase memory cell and its mode of operation is also discussed.
    Type: Grant
    Filed: May 4, 2000
    Date of Patent: April 10, 2001
    Assignee: e-Memory Technology, Inc.
    Inventors: Ching-Hsiang Hsu, Ching-Song Yang
  • Patent number: 6207538
    Abstract: A method for forming both n and p wells in a semiconductor substrate using a single photolithography masking step, a non-conformal oxide layer and a chemical-mechanical polish step. A screen oxide layer is formed on a semiconductor substrate. A barrier layer is formed on the screen oxide layer. The barrier layer is patterned to form a first opening in the barrier layer over regions of the substrate where first wells will be formed. We implant impurities of a first conductivity type into the substrate to form first wells. In a key step, a non-conformal oxide layer is formed over the first well regions and the barrier layer. It is critical that the non-conformal oxide layer formed using a HDPCVD process. The non-conformal oxide layer is chemical-mechanical polished stopping at the barrier layer. The barrier layer is removed using a selective etch, to form second openings in the remaining non-conformal oxide layer over areas where second well will be formed in the substrate.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: March 27, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kuo-Hua Pan, Chu-Wei Hu, Chung-Te Lin, Chin-Hsiung Ho
  • Patent number: 6204157
    Abstract: A method for making a semiconductor device including a silicon substrate includes implanting Nitrogen into the substrate after gate stack formation and before source/drain pant implantation. The Nitrogen is implanted and then annealed as appropriate to establish shallow junction regions and minimal overlap regions in the substrate. Then, the source/drain dopant is implanted and activated, with the dopant essentially being constrained by the Nitrogen to remain concentrated in the shallow junction and minimal overlap regions, thereby minimizing junction capacitance and overlap capacitance in the finished device and consequently improving the speed of operation of the device.
    Type: Grant
    Filed: January 7, 2000
    Date of Patent: March 20, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Effiong Ibok
  • Patent number: 6204153
    Abstract: A fabrication process and transistor are described in which a transistor having decreased susceptibility to punchthrough and increased resistance to impurity diffusion is formed. One or more argon doped silicon epitaxial layers are formed superjacent a semiconductor substrate. In a preferred dual layer embodiment, a first argon doped silicon epi layer is grown over a substrate, and a second argon doped epi layer, preferably having an argon concentration less than that in the first epi layer, is formed over the first epi layer. A transistor is formed in an active region of a well having a channel laterally bounded by source/drain regions located exclusively in the second epi layer. The lighter argon doping of the second epi layer accommodates current flow in the channel while acting as a barrier to impurity outdiffusion and inhibiting punchthrough. The more heavily doped first epi layer serves primarily as a barrier to outdiffusion of impurities from the bulk substrate.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: March 20, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Jr., Charles E. May
  • Patent number: 6204173
    Abstract: A method of controlling stresses in thin films that are deposited over semiconductor device substrates. During anneal process steps, grain growth of the film creates stresses in that can damage or destroy it. The stresses lead to warping and bowing and ultimately to film cracking which undermines desired low resistivity. The present invention imparts thermal stability to thin films by grain boundary stuffing (GBS) of preselected elements that resist film grain changes that cause the stresses. GBS implants the elements into the thin film at desired depths, but above the film-substrate interface, sufficient to prevent or lessen destructive grain growth. GBS provides for structural film stability required during severe thermal cycles that occur during subsequent processing of semiconductor devices.
    Type: Grant
    Filed: December 11, 1998
    Date of Patent: March 20, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Yong-Jun Hu, Pai Hung Pan
  • Patent number: 6200884
    Abstract: A method for making a ULSI MOSFET chip includes masking areas such as transistor gates with photoresist mask regions. Prior to ion implantation, the top shoulders of the mask regions are etched away, to round off the shoulders. This promotes subsequent efficient quasi-vertical ion implantation, commonly referred to as “high aspect ratio implantation” in the semiconductor industry.
    Type: Grant
    Filed: July 31, 1999
    Date of Patent: March 13, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Chih-Yuh Yang, Mark S. Chang
  • Patent number: 6200883
    Abstract: In ion implantation processes for forming junctions in semiconductor devices, a proportion of ions implant into the semiconductor material beyond the desired junction depth due to channelling along axes and planes of symmetry in the crystal. A method is provided in which ions are implanted at a series of different energies starting with a lower energy than that required for the desired junction depth. The initial amorphising of the surface regions of the semiconductor during the lower energy implantation reduces the channelling probability when the ions are subsequently implanted at the full energy resulting in a more sharply defined junction.
    Type: Grant
    Filed: June 16, 1997
    Date of Patent: March 13, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Mitchell C. Taylor, Babak Adibi, Majeed Ali Foad
  • Patent number: 6187637
    Abstract: A method for increasing isolation ability is disclosed. A shallow trench into semiconductor device is formed on a wafer. Therefore the wafer owns a semiconductor substrate and wherein a first gate oxide layer is formed on the semiconductor substrate. A nitride layer is formed on the gate oxide layer. Then the method will include the following statement. Firstly a deep well layer is formed into the semiconductor substrate. Then patterning oxide layer and the nitride layer is carried out. Thereafter trenches is formed. The portion of silicon nitride layer and gate oxide layer will be etched according to the pattern of the gate oxide layer and the nitride layer. Sequentially first implanting a couple of device cell into the deep well of semiconductor substrate is achieved. Then the couple of device cell is annealed. The whole silicon nitride layer is removed. Not only the second implanting cell device will be obtained but also the third implanting cell device will be achieved.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: February 13, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Ming-I Chen, Chih-Hua Lee
  • Patent number: 6180457
    Abstract: A method of manufacturing a non-volatile memory device is provided. According to an aspect of this method, an isolation layer is formed on a semiconductor substrate including a cell array part and a peripheral circuit part. A floating gate pattern is formed exposing the semiconductor substrate in the peripheral circuit part with a tunnel oxide layer interposed between the floating gate pattern and the semiconductor substrate in the cell array part, and an interlayer insulating layer covering the floating gate pattern is formed. A control gate layer is formed, which covers the interlayer insulating layer and the semiconductor substrate in the peripheral circuit part while interposing a gate oxide layer between the control gate layer and the semiconductor substrate.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: January 30, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Wang-chul Shin, Jeong-eui Kang, Kyong-moo Mang
  • Patent number: 6180455
    Abstract: An object of the present invention is to manufacture a semiconductor device excellent in withstand-voltage property of each element formed in a peripheral element region portion, without incurring complexity of a manufacturing process. Impurity ions are injected into a substrate so as to form a first well portion and field oxide films for partitioning a substrate surface including the surface of the first well portion into a plurality of active regions. Further, the impurity ions are injected into the first well portion so as to form a second well portion having a plurality of active regions. Regions corresponding to the active regions on the second well portion are exposed and a mask for covering regions other than the above regions is formed. Ions are injected into the second well portion exposed from the mask under the action of energy transmitted through the field oxide films.
    Type: Grant
    Filed: February 3, 1999
    Date of Patent: January 30, 2001
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yutaka Kamata
  • Patent number: 6180464
    Abstract: Channel doping is implemented such that dopants remain localized under the gate without migrating under the source/drain juctions during processing, thereby avoiding performance degradation of the finished device. Embodiments include implanting impurities at an acute angle to form a lateral channel implant localized below the gate after activation of source/drain regions, and activating the lateral channel implant by a low-temperature RTA during subsequent metal silicide formation. The use of a low-temperature RTA for electrical activation of the lateral channel implant avoids impurity migration under the source/drain junctions, thereby lowering parasitic junction capacitance and enabling the manufacture of semiconductor devices exhibiting higher circuit speeds with improved threshold voltage control.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: January 30, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zoran Krivokapic, Ognjen Milic
  • Patent number: 6168993
    Abstract: A process for fabricating a semiconductor device includes the step of processing a patterned resist layer to vary the lateral dimensions of the patterned resist layer while forming doped regions in a semiconductor substrate. A graded junction profile is formed by creating a patterned resist layer having a first substantially vertical edge surface. A doping process is carried out to form a first doped region in the semiconductor substrate having a junction profile substantially continuous with the first substantially vertical edge surface. The patterned resist layer is processed to form a second substantially vertical edge surface, which is laterally displaced from the first substantially vertical edge surface. A doping process is carried out to form a second doped region having a junction profile that is substantially continuous with the second substantially vertical edge surface. The junction profiles of the first and second doped regions form a graded junction within the semiconductor substrate.
    Type: Grant
    Filed: January 19, 2000
    Date of Patent: January 2, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David K. Foote, Bharath Rangarajan, George Kluth, Fei Wang
  • Patent number: 6162668
    Abstract: A high withstand voltage semiconductor device includes a semiconductor substrate of a first conductivity type, a metallic wiring formed on a surface of the semiconductor substrate and having a contact face with said semiconductor substrate, a highly doped impurity region formed within the semiconductor substrate below the contact face and of a second conductivity type, a lightly doped impurity region formed around the highly doped impurity region and of the second conductivity type, and a MOSFET with a second conductivity-type having a source or drain region formed on the surface of the semiconductor substrate and electrically connected to the metallic wiring through the impurity regions.
    Type: Grant
    Filed: March 3, 1999
    Date of Patent: December 19, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hidekazu Oda, Tomohiro Yamashita
  • Patent number: 6162692
    Abstract: An integrated circuit fabrication process is provided for placing a diffusion barrier layer above the junctions of a transistor and counter dopant regions at the boundaries of the junctions to enhance the dopant level within the junctions. The diffusion barrier layer (e.g., a nitride layer) is strategically placed between the junctions and sidewall spacers which extend laterally from the opposed sidewall surfaces of a gate conductor. The diffusion barrier layer inhibits the dopants within the junctions from passing into the sidewall spacers. Dopant species opposite in type to those in the junctions are implanted into the counter dopant regions using a "large tilt angle" (LTA) implant methodology, wherein the angle of incidence of the injected dopant ions is at a non-perpendicular angle relative to the upper surface of the semiconductor substrate. In this manner, the counter dopant regions are placed both beneath the junctions and at the juncture between the junctions and the channel region of the transistor.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: December 19, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Derrick J. Wristers, Thien T. Nguyen
  • Patent number: 6159805
    Abstract: An electronic semiconductor device (20) with a control electrode (19) consisting of self-aligned polycrystalline silicon (4) and silicide (12), of the type in which said control electrode (19) is formed above a portion (1) of semiconductor material which accommodates active areas (9) of the device (20) laterally with respect to the electrode, has the active areas (9) at least partially protected by an oxide layer (10) while the silicide layer (12) is obtained by means of direct reaction between a cobalt film deposited on the polycrystalline silicon (4) and on the oxide layer (10). (FIG.
    Type: Grant
    Filed: September 21, 1998
    Date of Patent: December 12, 2000
    Assignee: STMicroelectronics S.r.l.
    Inventors: Antonello Santangelo, Giuseppe Ferla
  • Patent number: 6153498
    Abstract: A method of fabricating a buried contact avoids high resistance at a junction by forming a polysilicon layer in a trench. Thus, the current passage is not cut by the trench. The resistance of the trench junction is decreased.
    Type: Grant
    Filed: November 12, 1998
    Date of Patent: November 28, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Chen-Chung Hsu
  • Patent number: 6150202
    Abstract: Disclosed is a method for fabricating semiconductor device, which has the steps of: forming a device separation region to section a first device forming region and a second device forming region on a substrate with a SOI structure; forming gate oxide film on the first and second device forming regions; introducing first conductivity type impurity and second conductivity type impurity into the first and second device forming regions to form a channel region of a first channel type transistor by the first conductivity type impurity and to form a source-drain region of the first channel type transistor by the second conductivity type impurity on at least the first device forming region; and introducing the first conductivity type impurity and the second conductivity type impurity selectively into the second device forming region to form a channel region and a source-drain region of a second channel type transistor on the second device forming region.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: November 21, 2000
    Assignee: NEC Corporation
    Inventors: Kiyotaka Imai, Hideaki Onishi
  • Patent number: 6146982
    Abstract: A method for producing a low-impedance contact between a metallizing layer and a semiconductor material of a first conductivity type having a semiconductor surface, an insulation layer on the semiconductor surface and a semiconductor layer on the insulation layer, includes applying a first insulating layer with a predetermined content of dopants on the semiconductor layer, and structuring the first insulating layer by anisotropic etching, forming first and second openings. The semiconductor layer is anisotropically etched by using the first insulating layer as a mask. A first dopant of a second conductivity type is implanted and driven through the first opening into the semiconductor material with a first phototechnique, forming a first zone in the semiconductor material. A second dopant of the first conductivity type is implanted through the second opening into the semiconductor material with a second phototechnique. A second doped insulating layer is applied over the entire surface.
    Type: Grant
    Filed: May 8, 1997
    Date of Patent: November 14, 2000
    Assignee: Infineon Technologies AG
    Inventors: Wolfgang Werner, Klaus Wiesinger, Andreas Preussger
  • Patent number: 6140198
    Abstract: A method of fabricating a load resistor. The load resistor is often applied in a static random access memory. The interconnect between different conductive regions such as gate and source/drain region is formed by applying a hydrogen treatment to a refractory metal oxide layer, while the load resistors are formed by applying a hydrogen treatment with different parameters as the former one. The insulation is formed by the refractory metal oxide layer which is not to be covered.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: October 31, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Fu-Tai Liou
  • Patent number: 6140161
    Abstract: Disclosed is a method for making a semiconductor integrated circuit device used to form a p-channel MOS field-effect transistor and a n-channel MOS field-effect transistor on a common SOI substrate with a structure that a first silicon layer, insulating film and a second silicon layer are layered;wherein the steps from sectioning a SOI layer as the second silicon layer by insulation separation into a plurality of active regions to forming at least one gate electrode to be laid through gate insulating film on the surface of each of the plurality of active regions are conducted with no relation to the conductivity type of MOS field-effect transistor.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: October 31, 2000
    Assignee: NEC Corporation
    Inventor: Kouichi Kumagai
  • Patent number: 6133101
    Abstract: The present invention includes performing a blanket ion implantation to form lightly doped drain regions (LDD) adjacent to gate structures. A second ion implantation is performed with tilted angle to form p channel punchthrough stopping regions. A third ion implantation is used to implant ions into a NMOS device region. Oxide spacers are then formed on gate structures. Next, a forth ion implantation is then carried out to dope ions into the substrate to form source and drain regions in the NMOS region and a NMOS cell region, respectively. Next, a fifth ion implantation is used to dope dopant into a PMOS device region, thereby forming source and drain regions in the PMOS device region. Subsequently, a high temperature thermal anneal is performed to form shallow junction of the devices.
    Type: Grant
    Filed: April 9, 1998
    Date of Patent: October 17, 2000
    Assignee: Texas Instruments - Acer Incorporated
    Inventor: Shye-Lin Wu
  • Patent number: 6130106
    Abstract: A field emission display has electron emitters that are current-limited by implanting in a silicon layer only enough ions to produce a desired current, and then forming emitters from the silicon layer by isotropic etching.
    Type: Grant
    Filed: November 14, 1996
    Date of Patent: October 10, 2000
    Assignee: Micron Technology, Inc.
    Inventor: David Zimlich
  • Patent number: 6127247
    Abstract: The present invention proposes a method for forming vertically modulated wells in a semiconductor substrate. The method can include the steps as follows. At first, isolation regions are formed over the substrate. A pad layer is then formed over the substrate and a photoresist layer is formed over the pad layer. Then, p-well regions are defined by removing portions of the photoresist layer. Next, first p-wells are formed in the substrate under the p-well regions. After forming a masking layer over the p-well regions, the photoresist layer is removed. A first thermal process is then performed. Second p-wells are formed in the substrate at a level below the first p-wells. Next, n-wells are formed in the substrate under regions uncovered by the masking layer and above the second p-wells. The masking layer and the pad layer are then removed. Finally, a second thermal process is performed to finish the formation of vertically modulated wells.
    Type: Grant
    Filed: June 3, 1998
    Date of Patent: October 3, 2000
    Assignee: Texas Instruments - Acer Incorporated
    Inventor: Shye-Lin Wu
  • Patent number: 6121089
    Abstract: Methods of forming power semiconductor devices having merged split-well body regions include the steps of forming a semiconductor substrate containing a drift region of first conductivity type (e.g., N-type) therein extending to a first face thereof. First and second split-well body regions of second conductivity type (e.g., P-type) may also be formed at spaced locations in the drift region. First and second source regions of first conductivity type are also formed in the first and second split-well body regions, respectively. A central body/contact region of second conductivity type is also formed in the drift region, at a location intermediate the first and second split-well body regions. The central body/contact region preferably forms non-rectifying junctions with the first and second split-well body regions and a P-N rectifying junction with the drift region at a central junction depth which is less than the maximum well junction depths of the split-well body regions.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: September 19, 2000
    Assignee: Intersil Corporation
    Inventors: Jun Zeng, Carl Franklin Wheatley, Jr.
  • Patent number: 6117738
    Abstract: A method for fabricating an improved structure of a high-bias device includes forming multiple doped wells between source/drain regions and a P-type substrate. The doped wells have an increasing order of dopant density from the P-type substrate for the P-type dopant or from a first N-type well for an N-type dopant. The doped multiple wells enclose the source/drain regions so that the source/drain regions do not directly contact with the substrate.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: September 12, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Tung
  • Patent number: 6107129
    Abstract: An integrated circuit is formed whereby MOS transistor junctions are produced which enhance the overall speed of the integrated circuit. The transistor junctions include multiple implants into the lightly doped drain (LDD) areas of the junction, the source/drain areas of the junction or both the LDD and source/drain areas. The first implant of the multiple implants serves to condition the implant area so that the second and subsequent implants are accurately placed with relatively high concentrations closely below the substrate surface. The resulting junction is therefore one which has relatively high drive strength, low contact resitivity, low source-to-drain parasitic resistance, and relatively low junction capacitance.
    Type: Grant
    Filed: March 11, 1998
    Date of Patent: August 22, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Fred N. Hause, H. Jim Fulford, Jr.
  • Patent number: 6107127
    Abstract: To form a shallow well MOSFET, an epitaxial layer is subjected to a blanket implant of impurities, so as to form a very shallow well region that defines a PN junction with the epitaxial layer. A field oxide layer is selectively formed on a portion of the shallow well region, and a gate insulator layer is formed on the exposed portion of the shallow well region contiguous with the field insulator layer. A polycrystalline silicon spacer-gate layer is non-selectively deposited on the field insulator layer and the gate insulator layer, forming a multiple thickness implant mask. The resulting structure is subjected to one or more high energy impurity implants, to overdose and thereby convert a portion of the shallow well region to the conductivity of the epitaxial layer. This extends the PN junction up to the surface of the well region beneath the gate insulator layer, thereby defining the length of the channel between the side edge of the field oxide layer and the extended PN junction.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: August 22, 2000
    Inventor: Christopher B. Kocon
  • Patent number: 6103602
    Abstract: A system and method for providing a memory cell on a semiconductor is disclosed. The memory cell has a source and a drain. The method and system include providing a source implant in the semiconductor, providing a pocket implant in the semiconductor, and providing a drain implant in the semiconductor after the pocket implant is provided. Thus, short channel effects are reduced.
    Type: Grant
    Filed: December 17, 1997
    Date of Patent: August 15, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Timothy J. Thurgate, Vei-Han Chan
  • Patent number: 6096611
    Abstract: The method for forming dual threshold circuits on a semiconductor substrate is provided. The semiconductor substrate has a first region, a second region, and a third region. The first region, the second region, and the third region are doped with first type dopants. Then the first region and the second region are doped with second type dopants. The second type dopants are opposite type dopants of the first type dopants. The semiconductor substrate can be performed with more steps to form transistors in the first region, the second region, and the third region.
    Type: Grant
    Filed: March 13, 1998
    Date of Patent: August 1, 2000
    Assignee: Texas Instruments - Acer Incorporated
    Inventor: Shye-Lin Wu
  • Patent number: 6090715
    Abstract: A masking process for forming first and second ion-doped regions on a substrate of a semiconductor device. An oxide layer and a first nitride layer are formed on the substrate in order. The first nitride layer is etched using a photolithography process to form a first predetermined pattern which exposes portions of the oxide layer. The exposed portions of the oxide layer are then etched using the first predetermined pattern as an etching mask, until portions of the substrate corresponding to the first ion-doped regions are exposed. Next, first ions are doped into the exposed portions of the substrate using the first predetermined pattern as a doping mask. The first predetermined pattern is removed. A second nitride layer is then formed over the substrate and the patterned oxide layer. Portions of the second nitride layer are removed to reveal the top of the patterned oxide layer, forming a second predetermined pattern on the substrate.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: July 18, 2000
    Assignees: ANAM Semiconductor Inc., Amkor Technology, Inc.
    Inventor: Sang-Yong Kim
  • Patent number: 6087219
    Abstract: A method of forming a Flash EEPROM device with a gate electrode stack includes forming a a tunnel oxide layer, a floating gate electrode layer, a dielectric layer, and a control gate layer on a doped silicon semiconductor substrate. Then form source/drain regions in the substrate. Next, form a surface P+ doped halo region in the surface of the N+ source region juxtaposed with the control gate electrode. The P+ halo region is surrounded by the N+ source region. The result is a device which is erased by placing a negative voltage of about -10V on the control gate and a positive voltage of about 5V on the combined source region/halo region to produce accumulation of holes in the channel which distributes the flow of electrons into the channel rather than concentrating the electrons near the interface between the source region and the edge of the tunnel oxide layer. The tunnel oxide layer has a thickness from about 70 .ANG. to about 120 .ANG..
    Type: Grant
    Filed: June 19, 1998
    Date of Patent: July 11, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ching-Hsiang Hsu, Mong-Song Liang, Steve S. Chung
  • Patent number: 6083800
    Abstract: The present invention discloses a high voltage semiconductor device with high breakdown voltage without increment in area occupied an increase in the size of junction region. Each junction region includes: (i) a first impurity region of a first conductivity type of a low impurity concentration formed at a predetermined position in the semiconductor substrate, (ii) a second impurity region of a second conductivity type of a medium impurity concentration formed in the first impurity region, a part of the second impurity region being exposed to the surface of the substrate, and (iii) a third impurity region of a first conductivity type of a high impurity concentration, the third impurity region being in contact with the second impurity region, wherein a reverse bias is applied to the third impurity region.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: July 4, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sang-Jun Park
  • Patent number: 6080614
    Abstract: A method of fabricating a MOS-gated semiconductor device in which arsenic dopant is implanted through a mask to form a first layer, boron dopant is implanted through the mask to form a second layer deeper than the first layer, and in which a single diffusion step diffuses the implanted arsenic and the implanted boron at the same time to form a P+ body region with an N+ source region therein and a P type channel region.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: June 27, 2000
    Inventors: John Manning Sauidge Neilson, Linda Susan Brush, Frank Stensney, John Lawrence Benjamin, Anup Bhalla, Christopher Lawrence Rexer, Richard Douglas Stokes, Christopher Boguslow Kocon, Louise E. Skurkey, Christopher Michael Scarba
  • Patent number: 6071778
    Abstract: A memory device comprising a semiconductor material substrate with a dopant of a first type; a first semiconductor material well with a dopant of a second type formed in the substrate; a second semiconductor material well with a dopant of the first type formed in said first well; an array of memory cells formed within said second well. Each memory cell comprises a first electrode and a second electrode respectively formed by a first and a second doped regions with dopant of the second type formed in said second well, and a control gate electrode.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: June 6, 2000
    Assignee: STMicroelectronics S.r.l.
    Inventors: Roberto Bez, Alberto Modelli
  • Patent number: 6066522
    Abstract: A semiconductor device include: a substrate of a conductivity type; a first well provided in the substrate and of the same conductivity type as the conductivity type of the substrate; a second well provided in the substrate and of an opposite conductivity type to the conductivity type of the substrate; and a buried well provided at a deep position in the substrate and of the opposite conductivity type to the conductivity type of the substrate. A buried well of the same conductivity type as the conductivity type of the substrate is further provided so as to be in contact with at least a part of a bottom portion of the first well so that the first well is at least partially electrically connected to the substrate.
    Type: Grant
    Filed: September 4, 1997
    Date of Patent: May 23, 2000
    Assignee: Matsushita Electronics Corporation
    Inventor: Junji Hirase
  • Patent number: 6066523
    Abstract: The present invention relates to a method for fabricating semiconductor devices having triple wells, the present invention has an effect as follows. The present invention provides carrying out N-well and P-well and R-well ion implantation using a mask for implanting two wells after forming an element isolation oxide film, defining an accurate well region by forming wells having an accurate profile due to activating impurity ions in accordance with the thermal process, and improving the punch characteristic between a well and a well.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: May 23, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Dae Yong Shim, Byeong Ryeol Lee
  • Patent number: 6057220
    Abstract: A "porous barrier" is formed without formation of a discrete barrier layer by enriching grain boundaries of a body of polysilicon with nitrogen to inhibit thermal mobility of silicon species therealong. In a polycide gate/interconnect structure, the reduced mobility of silicon suppresses agglomeration of silicon in a metal silicide layer formed thereon. Since silicon agglomeration is a precursor of a polycide inversion phenomenon, polycide inversion which can pierce an underlying oxide and cause device failure is effectively avoided.
    Type: Grant
    Filed: September 23, 1997
    Date of Patent: May 2, 2000
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corporation
    Inventors: Atul C. Ajmera, Christine Dehm, Anthony G. Domenicucci, George G. Gifford, Stephen K. Loh, Christopher Parks, Viraj Y. Sardesai
  • Patent number: 6057184
    Abstract: A semiconductor device and method of fabrication for such device in which a P- epitaxial layer is positioned above a P++ substrate. A P++ buried layer implant is positioned within the device between the P++ substrate and the P- epitaxial layer. A connecting p+ implant is placed within the epitaxial layer above the buried p+ blanket layer implant. In one exemplary embodiment, the device includes a shallow P-well with the P+ connecting implant in a position within the epitaxial layer connecting the shallow P-well and the buried P+ blanket implant layer.
    Type: Grant
    Filed: March 21, 1997
    Date of Patent: May 2, 2000
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Scott Brown, Stephen Scott Furkay, Robert John Gauthier, Jr., Xiaowei Tian, Minh Ho Tong, Steven Howard Voldman
  • Patent number: 6043126
    Abstract: An MOS-gated power semiconductor device is formed by a process in which a self-aligned device cell is formed without any critical alignments. A sidewall spacer is used to mask the etching of a depression in the silicon to reduce the number of critical alignment steps. An optional selectively formed metal connects the polysilicon layer to the P+ and N+ diffusion regions. The sidewall spacer, in combination with the selectively formed metal, prevents impurities from diffusing to the parasitic DMOS channels and inverting them to cause leakage. A termination structure may also be formed by this process.
    Type: Grant
    Filed: October 22, 1997
    Date of Patent: March 28, 2000
    Assignee: International Rectifier Corporation
    Inventor: Daniel M. Kinzer
  • Patent number: 6030888
    Abstract: A method of fabricating a junction-isolated semiconductor device is provided which includes the following steps. Within a first P-type buried region second N-type buried regions are formed. Over the first and second buried regions, an N-type epitaxial layer defining a surface of the device is grown. In the epitaxial layer, P-type isolation regions extending from the surface down to and in electric continuity with the first buried region and defining, with the first buried region, N-type wells incorporating the second buried regions is formed. And, P-type annular border regions in the epitaxial layer and to the side of the isolation regions are formed. The steps of forming isolation regions and annular border regions semiconducting regions being performed in a single step of selectively introducing doping ions.
    Type: Grant
    Filed: January 31, 1997
    Date of Patent: February 29, 2000
    Assignee: Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno
    Inventor: Salvatore Leonardi
  • Patent number: 6025239
    Abstract: A method for fabricating an electrostatic discharge device is disclosed. The method forms successively a gate dielectric layer and a gate electrode over a semiconductor substrate. The gate electrode is then utilized as a mask for a first ion implantation for forming a first lightly-doped region in the substrate. Moreover, a second ion implantation step is carried out, using the gate electrode as a mask, to form a second lightly-doped region under the first lightly-doped region in the substrate. A sidewall spacer is then formed on sidewall of the gate electrode. Finally, using the sidewall spacer and the gate electrode as a mask, a third ion implantation step is carried out to form a heavily-doped region in the substrate.
    Type: Grant
    Filed: February 23, 1998
    Date of Patent: February 15, 2000
    Assignee: Winbond Electronics Corp.
    Inventor: Ta-Lee Yu
  • Patent number: 6022783
    Abstract: A semiconductor processing method of forming an NMOS field effect transistor includes, a) providing a projecting mesa of semiconductive material from a bulk semiconductor substrate, the mesa defining a semiconductor substrate floor and walls rising upwardly therefrom; b) providing a gate dielectric layer and a gate atop the semiconductive mesa; c) providing a pair of opposing LDD regions within the semiconductive mesa, the respective LDD regions running along one of the mesa walls; and d) providing source and drain diffusion regions within the bulk semiconductor substrate floor which respectively interconnect with the opposing LDD regions of the mesa. NMOS field effect transistors are also disclosed.
    Type: Grant
    Filed: July 30, 1997
    Date of Patent: February 8, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Jeff Zhiqiang Wu
  • Patent number: 6022785
    Abstract: The invention discloses a method of forming a metal-oxide-semiconductor transistor. The method provides a substrate, where a gate structure is formed thereon. Next, a first spacer is formed on the sidewall of the gate structure. A pair of heavily doped regions is formed in the substrate. Then, an annealing process is performed to make the doped ions in the heavily doped regions uniformly distributed. Next, the first spacer is removed and a thin pad dielectric layer is formed over the substrate. Next, a first type halo structure is formed in the bottom portion of the source/drain region beneath the gate structure. A lightly doped region is formed between the gate structure and the first type halo structure and above the first type halo structure. An etching process is performed on the pad dielectric layer to form a second spacer and then the MOS transitor is completed.
    Type: Grant
    Filed: July 30, 1998
    Date of Patent: February 8, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuan Yeh, Tony Lin
  • Patent number: 6004864
    Abstract: A method is described for forming trench isolation for integrated circuits on silicon wafers by selectively doping the trench regions by ion implantation and then etching these areas with a wet chemical etch. A dopant such as boron, is implanted in a sequence of energies and doses to provide a desired trench profile of heavily doped silicon. The implanted silicon etches far more rapidly than the surrounding silicon and is readily etched out forming a trench. The concentration of dopant diminishes rapidly in the periphery of the implanted region. As the etch front approaches the periphery, the silicon etch rate, likewise diminishes and the etch can be quenched to leave a uniform surface layer of enhanced boron concentration which lines the resultant trench to form an effective channel stop. Wet etched trenches provide advantages over trenches formed by RIE including smooth rounded trench profiles which reduce stress. In addition, trenches having widths below 0.
    Type: Grant
    Filed: February 25, 1998
    Date of Patent: December 21, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Ji-Chung Huang, Han-Liang Tseng, Chia-Hsiang Chen, Kuo-Sheng Chuang
  • Patent number: 6001701
    Abstract: A bipolar fabrication process, illustratively suited for integration into a conventional CMOS process to thereby form a BiCMOS integrated circuits is disclosed. The collector and base are formed through multiple implants and a single masking step to thereby provide a continuous low resistance collector region.
    Type: Grant
    Filed: June 9, 1997
    Date of Patent: December 14, 1999
    Assignee: Lucent Technologies Inc.
    Inventors: Michael Scott Carroll, Samir Chaudhry, Alan Sangone Chen, Yih-Feng Chyan, Kuo-Hua Lee, William John Nagy