Including Multiple Implantation Steps Patents (Class 438/527)
  • Patent number: 7091114
    Abstract: Disclosed is a method for manufacturing a semiconductor device comprising implanting ions of an impurity element into a semiconductor region, implanting, into the semiconductor region, ions of a predetermined element which is a group IV element or an element having the same conductivity type as the impurity element and larger in mass number than the impurity element, and irradiating a region into which the impurity element and the predetermined element are implanted with light to anneal the region, the light having an emission intensity distribution, a maximum point of the distribution existing in a wavelength region of not more than 600 nm.
    Type: Grant
    Filed: September 11, 2002
    Date of Patent: August 15, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Ito, Toshihiko Iinuma, Kyoichi Suguro
  • Patent number: 7084034
    Abstract: MOS-gated power device including a plurality of elementary functional units, each elementary functional unit including a body region of a first conductivity type formed in a semiconductor material layer of a second conductivity type. A plurality of doped regions of a first conductivity type is formed in the semiconductor material layer, each one of the doped regions being disposed under a respective body region and being separated from other doped regions by portions of the semiconductor material layer.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: August 1, 2006
    Assignee: STMicroelectronics S.r.l.
    Inventor: Ferruccio Frisina
  • Patent number: 7063991
    Abstract: Disclosed herein are various methods of determining characteristics of doped regions on device wafers, and a system for accomplishing same. In one illustrative embodiment, the method includes providing a device substrate comprising a plurality of masked areas, a plurality of unmasked areas, and at least one doped region formed in the substrate, determining a ratio between the unmasked areas and the masked areas for the device substrate, illuminating an area of the device substrate comprising the masked areas, the unmasked areas, and at least one doped region, and measuring an induced surface photovoltage of the device substrate while accounting for the ratio of the unmasked areas and the masked areas of the device substrate.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zhiyong Zhao, Christian Krueger
  • Patent number: 7064040
    Abstract: An implantation step of a dopant ion for forming source and drain regions (S and D) is divided into one implantation of a dopant ion for forming a p/n junction with a well region (3), and one implantation of a dopant ion that does not influence a position of the p/n junction between the source and drain regions (S and D) and the well region with a shallow implantation depth and’ a large implantation amount. After conducting an activation heat treatment of the dopant, a surface of the source/drain region is made into cobalt silicide 12, so that the source/drain region (S and D) can have a low resistance, and a p/n junction leakage can be reduced.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: June 20, 2006
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Shinichi Fukada, Naotaka Hashimoto, Masanori Kojima, Hiroshi Momiji, Hiromi Abe, Masayuki Suzuki
  • Patent number: 7060599
    Abstract: Disclosed is an electrical device having, and a process for forming, a shallow junction with a variable concentration profile gradation of dopants. The process of the present invention includes first providing and masking a surface on an in-process integrated circuit wafer on which the shallow junction is to be formed. Next, a low ion velocity and low energy ion bombardment plasma doping or PLAD operation is conducted to provide a highly doped inner portion of a shallow junction. In a further step, a higher ion velocity and energy conventional ion bombardment implantation doping operation is conducted using a medium power implanter to extend the shallow junction boundaries with a lightly doped outer portion. In various embodiments, the doping steps can be performed in reverse order. In addition, an anneal step can be performed after any doping operation.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: June 13, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Randhir Thakur
  • Patent number: 7056799
    Abstract: A system is provided for forming a semiconductor device. Layers of gate dielectric material, gate material, and cap material are formed on a semiconductor substrate. The cap material and a portion of the gate material are processed to form a cap and a gate body portion. A wing on the gate body portion is formed from a remaining portion of the gate material. The gate dielectric material under a portion of the wing on the gate body portion is removed to form a gate dielectric. A lightly-doped source/drain region is formed in the semiconductor substrate using the gate body portion and the wing.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: June 6, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Timothy Phua, Kheng Chok Tee, Liang Choo Hsia
  • Patent number: 7056814
    Abstract: Methods of manufacturing MOS transistors which are capable of suppressing a short channel effect are disclosed. The short channel effect is suppressed by forming source/drain regions of a shallow junction and sufficiently doping a gate. An illustrated method includes: forming a gate insulating layer and a gate on a semiconductor substrate of a first conductivity type; forming lightly doped drain regions of a second conductivity type within the substrate at opposite sides of the gate; forming spacers on side walls of the gate; forming an insulating buffer layer; exposing a top surface of the gate by performing a planarization process on the insulating buffer layer; doping the gate by implanting impurity ions of the second conductivity type into the top surface of the gate; removing the insulating buffer layer; and forming source/drain regions of the second conductivity type within the substrate at opposite sides of the spacers.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: June 6, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Hak-Dong Kim
  • Patent number: 7052963
    Abstract: A “chained implant” technique forms a body region in a trench gated transistor. In one embodiment, a succession of “chained” implants can be performed at the same dose but different energies. In other embodiments different doses and energies can be used, and particularly, more than one dose can be used in a single device. This process produces a uniform body doping concentration and a steeper concentration gradient (at the body-drain junction), with a higher total body charge for a given threshold voltage, thereby reducing the vulnerability of the device to punchthrough breakdown. Additionally, the source-body junction does not, to a first order, affect the threshold voltage of the device, as it does in DMOS devices formed with conventional diffused body processes.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: May 30, 2006
    Assignee: Advanced Analogic Technologies, Inc.
    Inventors: Richard K. Williams, Wayne Grabowski
  • Patent number: 7045449
    Abstract: The invention includes a semiconductor construction having a pair of channel regions that have sub-regions doped with indium and surrounded by boron. A pair of transistor constructions are located over the channel regions and are separated by an isolation region. The transistors have gates that are wider than the underlying sub-regions. The invention also includes a semiconductor construction that has transistor constructions with insulative spacers along gate sidewalls. Each transistor construction is between a pair source/drain regions that extend beneath the spacers. A source/drain extension extends the source/drain region farther beneath the transistor constructions on only one side of each of the transistor constructions. The invention also includes methods of forming semiconductor constructions.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: May 16, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 7041581
    Abstract: The preferred embodiment of the present invention overcomes the limitations of the prior art and provides a device and method to increase the latch-up immunity of CMOS devices by forming a non-dopant region near the edge of a dopant region. The preferred embodiment method to increase the latch-up immunity of CMOS devices uses hybrid photoresist to selectively form non-dopant implants near the edges of the N-well and/or P-well. The non-dopant implants suppress diffusion of dopant in the wells resulting in greater control of well spacing, and hence reducing the gain of the parasitic transistor. This reduces the propensity of the CMOS device to latch-up. The preferred embodiment method allows the non-dopant implants to be formed without requiring additional masking steps over the prior art methods.
    Type: Grant
    Filed: November 16, 2001
    Date of Patent: May 9, 2006
    Assignee: International Business Machines Corporation
    Inventors: Steven H. Voldman, Louis D. Lanzerotu
  • Patent number: 7037806
    Abstract: A method of fabricating a semiconductor-on-insulator semiconductor substrate is disclosed that includes providing first and second semiconductor substrates. Either oxygen or nitrogen is introduced into a region adjacent the surface of the first semiconductor substrate and a rare earth is introduced into a region adjacent the surface of the second semiconductor substrate. The surface of the first semiconductor substrate is bonded to the surface of the second semiconductor substrate in a process that includes annealing to react either the oxygen or the nitrogen with the rare earth to form an interfacial insulating layer of either rare earth oxide or rare earth nitride. A portion of either the first semiconductor substrate or the second semiconductor substrate is removed and the surface polished to form a thin crystalline active layer on the insulating layer.
    Type: Grant
    Filed: February 9, 2005
    Date of Patent: May 2, 2006
    Assignee: Translucent Inc.
    Inventor: Petar B. Atanackovic
  • Patent number: 7026230
    Abstract: The present invention is a method for fabricating a memory device. In one embodiment, an impurity concentration is created in a semiconductor substrate of a memory device. An annealing process is then performed. A second impurity concentration is created in a second region of the semiconductor substrate and a second annealing process is performed.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: April 11, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Dong-Hyuk Ju
  • Patent number: 7008865
    Abstract: A semiconductor device includes a semiconductor substrate of a first conductivity type, in which an extended drain region of a second conductivity type and a source region of the second conductivity type are formed with an interval therebetween, wherein the extended drain region includes a plurality of buried layers, each formed by burying an impurity layer of the first conductivity type, the plurality of buried layers extending substantially parallel to a substrate surface and with an interval therebetween in a depth direction. A concentration of an impurity of the second conductivity type in the extended drain region at a depth of about 6 ?m from the substrate surface is about 1×1015/cm3 or more and is about 30% or more of that at a depth of about 2 ?m from the substrate surface.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: March 7, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toshihiko Uno
  • Patent number: 7005364
    Abstract: The invention provides a method for manufacturing a semiconductor device with which an impurity introduction region and a positioning mark region can be formed aligned, based on a common insulating film pattern.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoto Niisoe
  • Patent number: 7005315
    Abstract: The present invention relates to a method for fabricating a complementary metal-oxide semiconductor (CMOS) image sensor. Prior to forming an N-type ion implantation region and a first and a second P0-type ion implantation regions, an oxide layer and a nitride layer are sequentially formed on a substrate and are subsequently patterned to form a protective pattern structure with a specific arrangement with respect to a photodiode and a gate structure of a transfer transistor. Afterwards, the gate structure is formed on the substrate. In the existence of the protective pattern structure, an N-type ion implantation process for forming the N-type ion implantation region for use in the photodiode, a first P0-type ion implantation process for forming the first P0-type ion implantation region and a spacer formation process are consecutively performed. A second P0-type ion implantation process for forming the second P0-type ion implantation region is performed thereafter.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: February 28, 2006
    Assignee: Magnachip Semiconductor, Ltd.
    Inventors: Hee Jeong Hong, Won-Ho Lee
  • Patent number: 6992909
    Abstract: A array of multi-bit Read Only Memory (ROM) cells is in a semiconductor substrate of a first conductivity type with a first concentration. Each ROM cell has a first and second regions of a second conductivity type spaced apart from one another in the substrate. A channel is between the first and second regions. The channel has three portions, a first portion, a second portion and a third portion. A gate is spaced apart and is insulated from at least the second portion of the channel. Each ROM cell has one of a plurality of N possible states, where N is greater than 2. The state of each ROM cell is determined by the existence or absence of extensions or halos that are formed in the first portion of the channel and adjacent to the first region and/or in the third portion of the channel adjacent to the second region. These extensions and halos are formed at the same time that extensions or halos are formed in MOS transistors in other parts of the integrated circuit device, thereby reducing cost.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: January 31, 2006
    Assignee: Silicon Storage Techtology, Inc.
    Inventors: Bomy Chen, Kai Man Yue, Dana Lee, Feng Gao
  • Patent number: 6982216
    Abstract: A method is provided for fabricating a MOSFET device. The method begins by forming a semiconductor device having a substrate on which a gate conductor having sidewalls separates a source region and a drain region. An oxide layer is formed over the gate sidewalls and a portion of the substrate. Ions of a first conductivity are implanted into the source and the drain regions to define source and drain extensions that respectively extend in part under the gate conductor. A nitride layer is formed over the oxide layer that extends over the portion of the substrate. An angled ion implant is performed during which the gate conductor shields a portion of the nitride layer over at least a portion of the drain region from damage by the angled ion implant. The angled ion implant selectively damages portions of the nitride layer in which ions are implanted to form damaged portions of the nitride layer.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: January 3, 2006
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Tenko Yamashita
  • Patent number: 6977204
    Abstract: The present invention provides a method for forming a contact plug in a semiconductor device capable of preventing an increase of contact resistance caused by a decrease in dopant concentration and suppressing diffusions of dopants implanted into the contact. The dopants are doped in a manner to allow the conductive layer to have different doping distributions with respect to a thickness. Particularly, the dopants are doped until reaching a target deposition thickness by gradually increasing a concentration of the dopants from a first concentration to a second concentration for an interval from an initial deposition of the conductive layer to the target deposition thickness, and the second concentration is consistently maintained throughout for an interval from the target deposition thickness to a complete deposition thickness.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: December 20, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Jae Joo
  • Patent number: 6977207
    Abstract: A method for fabricating a dual-gate semiconductor device is disclosed.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: December 20, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Chang Yeol Lee, Myeong Kook Gong
  • Patent number: 6974750
    Abstract: A process for fabricating power semiconductor devices involving preparation of a silicon wafer by epitaxial formation of an intrinsic silicon layer on a silicon substrate and high energy implantation to form channel and drift regions in the intrinsic epitaxial silicon.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: December 13, 2005
    Assignee: International Rectifier Corporation
    Inventor: Robert P. Haase
  • Patent number: 6972234
    Abstract: A method of fabricating CMOS devices suitable for high voltage and low voltage applications, while maintaining minimum channel lengths for the devices. In one embodiment, pocket implants (310) are formed in a minimum channel device causing a reverse channel effect. The reverse channel effect is optimized for the minimum channel length of the device. Field implants (120), enhancement implants (130), and wells (140) are all formed using a single mask.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: December 6, 2005
    Assignee: Altera Corporation
    Inventors: Raminda U. Madurawe, David K. Y. Liu
  • Patent number: 6949424
    Abstract: A method of forming a bipolar transistor device, and more particularly a vertical poly-emitter PNP transistor, as part of a BiCMOS type manufacturing process is disclosed. The formation of the PNP transistor during a CMOS/DMOS fabrication process requires merely one additional mask to facilitate formation of a very small emitter in a portion of an N-type surface layer of a double diffused well (DWELL). Unlike conventional PNP transistors, a separate mask is not required to establish the base of the transistor as the transistor base is formed from a portion of the double diffused well and the DWELL includes a P-type body layer formed via implantation through the same opening in the same mask utilized to establish the N-type surface layer of the double diffused well. The base is also thin thus improving the transistor's frequency and gain.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: September 27, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Lily Springer
  • Patent number: 6946374
    Abstract: A manufacturing method for fabricating flash memory semiconductor devices is disclosed.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: September 20, 2005
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: Geon-Ook Park
  • Patent number: 6946372
    Abstract: A method of manufacturing a gallium nitride (GaN)-based semiconductor light emitting device includes forming a contact resistance improved layer on a p-type GaN-based semiconductor layer with at least one metal selected from the group of Au, Mg, Mn, Mo, Pd, Pt, Sn, Ti and Zn, heat-treating the p-type GaN-based semiconductor layer so that elements in the contact resistance improved layer diffuse into the p-type GaN-based semiconductor layer and that Ga elements in the p-type GaN-based semiconductor layer dissolve into the contact resistance improved layer, and removing the contact resistance improved layer remaining on the p-type GaN-based semiconductor layer.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: September 20, 2005
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventor: Hyun Kyung Kim
  • Patent number: 6946339
    Abstract: In a method for creating a stepped structure on a substrate, which at least includes a first portion with a first thickness and a second portion with a second thickness, at first a layer sequence of a first oxide layer, a first nitride layer, and a second oxide layer is applied onto the substrate. Then a portion of the second oxide layer and a portion of the first nitride layer are removed to expose a portion of the first oxide layer. Then a part of the first nitride layer is removed to establish the first region of the stepped structure. Then the thickness of the first oxide layer is changed at least in the established first region to establish the first thickness of this region. Subsequently, a further part of the first nitride layer is removed to establish a second region of the stepped structure.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: September 20, 2005
    Assignee: Infineon Technologies AG
    Inventor: Christian Herzum
  • Patent number: 6936895
    Abstract: A new method to form an integrated circuit device is achieved. The method comprises forming a dielectric layer overlying a semiconductor substrate. An intrinsic semiconductor layer is formed overlying the dielectric layer. The intrinsic semiconductor layer is patterned. A p+ region is formed in the intrinsic semiconductor layer. An n+ region is formed in the intrinsic semiconductor layer. The p+ region and said n+ region are laterally separated by an intrinsic region to thereby form a PIN diode device. A source region and a drain region are formed in the semiconductor substrate to thereby complete a MOSFET device. The PIN diode device is a gate electrode for the MOSFET device.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: August 30, 2005
    Assignees: Chartered Semiconductor Manufacturing Ltd., Agilent Technologies, Inc.
    Inventors: Indrajit Manna, Keng Foo Lo, Pee Ya Tan, Raymond Filippi
  • Patent number: 6933215
    Abstract: In a method of producing a doped semiconductor structure with a trench, it is possible to set the doping of the trench side walls independently from the doping of the trench bottom, and to set different doping concentrations of the individual trench side walls relative to each other. In the method, a mask layer with a window therein is provided on a surface of a semiconductor body, and then a first doping step, a trench etching step, and a second doping step are carried out successively through this window while this one mask layer remains in place on the surface of the semiconductor body. Further etching and doping steps can be carried out successively also through this window of the mask layer.
    Type: Grant
    Filed: June 11, 2002
    Date of Patent: August 23, 2005
    Assignee: Atmel Germany GmbH
    Inventors: Christoph Bromberger, Franz Dietz, Volker Dudek, Michael Graf, Joern Herrfurth, Manfred Klaussner
  • Patent number: 6930004
    Abstract: A method of formation of a deep trench vertical transistor is provided. A deep trench with a sidewall in a doped semiconductor substrate is formed. The semiconductor substrate includes a counterdoped drain region in the surface thereof and a channel alongside the sidewall. The drain region has a top level and a bottom level. A counterdoped source region is formed in the substrate juxtaposed with the sidewall below the channel. A gate oxide layer is formed on the sidewalls of the trench juxtaposed with a gate conductor. Perform the step of recessing the gate conductor below the bottom level of the drain region followed by performing angled ion implantation at an angle ?+? with respect to vertical of a counterdopant into the channel below the source region and performing angled ion implantation at an angle ? with respect to vertical of a dopant into the channel below the source.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: August 16, 2005
    Assignee: International Business Machines Corporation
    Inventors: Geng Wang, Kevin Mcstay, Mary Elizabeth Weybright, Yujun Li, Dureseti Chidambarrao
  • Patent number: 6927151
    Abstract: A method of manufacturing a semiconductor device is disclosed which comprises, forming a first well region by performing an ion implantation process for implanting first ions into a semiconductor substrate, and then forming a second well region in the first well region by performing an ion implantation process for implanting second ions having larger mass than the first ions; and forming a three-part or three-fold well region by performing an annealing process on the result structure wherein the lighter first ions are disposed in the upper and lower well regions and the heavier second ions are disposed in the middle well region.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: August 9, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Noh Yeal Kwak
  • Patent number: 6924217
    Abstract: The present invention is provided to form a trench in a semiconductor device, wherein by performing an ion implanting process to an area of a semiconductor substrate in which the trench would be formed to cause lattice defects in the area before forming the trench, an etching speed of the area is increased in subsequent trench forming processes. As a result, it is possible to prevent micro trenches from being formed in edge portions of patterns and to suppress a micro loading effect to be generated depending upon pattern sizes.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: August 2, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Wook Ryu
  • Patent number: 6924216
    Abstract: A method of forming the active regions of field effect transistors is proposed. According to the proposed method, shallow implanting profiles for both the halo structures and the source and drain regions can be obtained by carrying out a two-step damaging and amorphizing implantation process. During a first step, the substrate is damaged during a first light ion implantation step and subsequently substantially fully amorphized during a second heavy ion implantation step.
    Type: Grant
    Filed: May 19, 2003
    Date of Patent: August 2, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Feudel, Manfred Horstmann, Rolf Stephan
  • Patent number: 6919252
    Abstract: A MOS semiconductor device formed on a substrate of a first conductivity type is provided. The device includes active zones for elementary active elements, and at least one inactive zone suitable for electric signal input or output. The substrate is connected with the drain terminal of the device, and at least one of the elementary active elements includes a body region of a second conductivity type that is connected with the source terminal of the device. The at least one inactive zone includes a semiconductor region of the second conductivity type formed in the substrate and adjacent a surface of the substrate, a conductive layer located over the semiconductor region, and a silicon oxide layer located between the semiconductor region and the conductive layer. The silicon oxide layer has alternating first zones and second zones that are contiguous to each other, with the first zones having a greater thickness than the second zones.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: July 19, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventors: Antonino Schillaci, Paola Maria Ponzio
  • Patent number: 6916716
    Abstract: Various methods of fabricating halo regions are disclosed. In one aspect, a method of manufacturing is provided that includes forming a symmetric transistor and an asymmetric transistor on a substrate. A first mask is formed on the substrate with a first opening to enable implantation formation of first and second halo regions proximate first and second source/drain regions of the symmetric transistor. First and second halo regions of a first dosage are formed beneath the first gate by implanting off-axis through the first opening. A second mask is formed on the substrate with a second opening to enable implantation formation of a third halo region proximate a source region of the second asymmetric transistor while preventing formation of a halo region proximate a drain region of the asymmetric transistor. A third halo region of a second dosage greater than the first dosage is formed by implanting off-axis through the second opening.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: July 12, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Scott Goad, James C. Pattison, Edward Ehrichs
  • Patent number: 6908859
    Abstract: A transistor is formed in a semiconductor substrate. A deep n-well region is used in conjunction with a shallow n-well region. A lightly doped drain extension region is disposed between a drain region and a gate conductor. The use of the regions and against the backdrop of region provides for a very high breakdown voltage as compared to a relatively low channel resistance for the device.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: June 21, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer P. Pendharkar, Taylor R. Efland, William Nehrer
  • Patent number: 6905948
    Abstract: A method is provided for manufacturing a semiconductor device having a high breakdown voltage transistor and a low breakdown voltage transistor with different driving voltages provided in a common layer. The method includes: (a) implanting an impurity of a second conductivity type in a specified region of a semiconductor layer of a first conductivity type to form a first well; (b) implanting an impurity of the second conductivity type in a specified region of the semiconductor layer to form a second well having an impurity concentration different from the first well; and (c) implanting an impurity of the first conductivity type in a specified region of the first well to form a third well.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: June 14, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Masahiro Hayashi
  • Patent number: 6902980
    Abstract: A method of fabricating a MOSFET device featuring a raised source/drain structure on a heavily doped source/drain region as well as on a portion of a lightly doped source/drain (LDD), region, after removal of an insulator spacer component, has been developed. After formation of an LDD region a composite insulator spacer, comprised of an underlying silicon oxide spacer component and an overlying silicon nitride spacer component, is formed on the sides of a gate structure. Formation of a heavily doped source/drain is followed by removal of the silicon nitride spacer resulting in recessing of, and damage formation to, the heavily doped source/drain region, as well as recessing of the gate structure. Removal of a horizontal component of the silicon oxide spacer component results in additional recessing of the heavily doped source/drain region, and of the gate structure.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: June 7, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yin-Pin Wang, Chih-Sheng Chang
  • Patent number: 6897103
    Abstract: An integrated circuit having a high voltage lateral MOS with reduced ON resistance. In one embodiment, the integrated circuit includes a high voltage lateral MOS with an island formed in a substrate, a source, a gate and a first and second drain extension. The island is doped with a low density first conductivity type. The source and drain contact are both doped with a high density second conductivity type. The first drain extension is of the second conductivity type and extends laterally from under the gate past the drain contact. The second drain extension is of the second conductivity type and extends laterally from under the gate toward the source. A portion of the second drain extension overlaps the first drain extension under the gate to form a region of increased doping of the second conductivity type.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: May 24, 2005
    Assignee: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 6893981
    Abstract: Disclosed is a method of manufacturing a semiconductor device. A gate is formed on a given region of a semiconductor substrate. Spacers are then formed using DCS-HTO or TEOS. Hydrogen remaining within the spacers is removed by a RTA process under nitrogen atmosphere and nitride films are formed on the spacers at the same time. In case of a flash memory device, a retention characteristic can be improved. A process of forming the nitride film additionally required in a subsequent contact hole formation process may be omitted. The sheet resistance of the gate could be improved by promoting growth of a crystal grain of a tungsten silicide film constituting a control gate.
    Type: Grant
    Filed: July 2, 2003
    Date of Patent: May 17, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang Wook Park, Seung Cheol Lee
  • Patent number: 6887745
    Abstract: A polysilicon thin film transistor and a method of forming the same is provided. A poly-island layer is formed over a substrate. A gate insulation layer is formed over the poly-island layer. A gate is formed over the gate insulation layer. Using the gate as a mask, an ion implantation of the poly-island layer is carried out to form a source/drain region in the poly-island layer outside the channel region. An oxide layer and a silicon nitride layer, together serving as an inter-layer dielectric layer, are sequentially formed over the substrate. Thickness of the oxide layer is thicker than or the same as (thickness of the nitride layer multiplied by 9000 ?)1/2 and maximum thickness of the nitride layer is smaller than 1000 ?.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: May 3, 2005
    Assignee: Au Optronics Corporation
    Inventors: Kun-Hong Chen, Chinwei Hu
  • Patent number: 6872628
    Abstract: A gate structure (4), an LDD region (6) and a sidewall (7) are provided in this order. Arsenic ions (8) are thereafter implanted into the upper surface of a silicon substrate (1) by tilted implantation. The next step is annealing for forming an MDD region (9) in the upper surface of the silicon substrate (1). The MDD region (9) and the gate structure (4) do not overlap one another in plan view. Further, the MDD region (9) formed into a depth shallower than that of the LDD region (6) is higher in concentration than the LDD region (6). Thereafter a source/drain region (11) higher in concentration than the MDD region (9) is provided by vertical implantation into a depth greater than that of the LDD region (6).
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: March 29, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Masayoshi Shirahata, Yukio Nishida
  • Patent number: 6867055
    Abstract: A method of testing ion implantation equipment verifies the level of ion implantation energy. The method includes implanting first conductive ions in an implantation region in a semiconductor substrate, implanting second conductive ions, having valence different from that of the first conductive ions, in the implantation region so as to produce a second well, and subsequently measuring a sheet resistance of the semiconductor substrate. The implanting of the second conductive ions may be carried out while varying the level of the ion implantation energy. By forming a twin well in this way, and then measuring the sheet resistance, the value of the sheet resistance can be precisely correlated to the amount of energy used to form a well.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: March 15, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Doo Guen Song
  • Patent number: 6867106
    Abstract: The semiconductor device comprises: a conducting layer including: a channel region; a source region and a drain region sandwiching the channel region; and a body region connected to the channel region and being adjacent to the source region and the drain region; a gate electrode formed above the channel region interposing a gate insulation film therebetween; a dummy electrode formed on the body region near the interface between at least the drain region and the body region, and electrically insulated with the gate electrode; and a body contact region formed in the body region except a region where the dummy electrode is formed. The gate electrode and the dummy electrode are electrically insulated with each other, whereby the semiconductor device having body contacts can have a gate capacitance much decreased. Accordingly, deterioration of the speed performance of the transistors can be suppressed.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: March 15, 2005
    Assignee: Fujitsu Limited
    Inventors: Seiichiro Yamaguchi, Mitsuaki Kai, Isao Amano
  • Patent number: 6861341
    Abstract: A heterogeneous device comprises a substrate and a plurality of heterogeneous circuit devices defined in the substrate. In embodiments, a plurality of heterogeneous circuit devices are integrated by successively masking and ion implanting the substrate. The heterogeneous device may further comprise at least one microelectromechanical system-based element and/or at least one photodiode. In embodiments, the heterogeneous circuit devices comprise at least one CMOS transistor and at least one DMOS transistor. In embodiments, the substrate comprises a layer of silicon or a layer of p-type silicon. In other embodiments, the substrate comprises a silicon-on-insulator wafer comprising a single-crystal-silicon layer or a single-crystal-P-silicon layer, a substrate and an insulator layer therebetween.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: March 1, 2005
    Assignee: Xerox Corporation
    Inventors: Jingkuang Chen, Yi Su
  • Patent number: 6855994
    Abstract: A semiconductor device including a gate oxide of multiple thicknesses for multiple transistors where the gate oxide thicknesses are altered through the growth process of implanted oxygen ions into selected regions of a substrate. The implanted oxygen ions accelerate the growth of the oxide which also allow superior quality and reliability of the oxide layer, where the quality is especially important, compared to inter-metal dielectric layers. A technique has been used to vary the thickness of an oxide layer grown on a silicon wafer during oxidation growth process by implanting nitrogen into selected regions of the substrate, which the nitrogen ions retard the growth of the silicon oxide resulting in a diminished oxide quality. Therefore it is desirable to fabricate a semiconductor device with multiple thicknesses of gate oxide by the implanted oxygen ion technique.
    Type: Grant
    Filed: November 29, 1999
    Date of Patent: February 15, 2005
    Assignee: The Regents of the University of California
    Inventors: Ya-Chin King, Tsu-Jae King, Chen Ming Hu
  • Patent number: 6852610
    Abstract: A semiconductor device includes a gate electrode formed on a semiconductor region via a gate insulative film and an extension high concentration diffusion layer of a first conductivity type formed in the semiconductor region beside the gate electrode. A dislocation loop defect layer is formed in a region of the semiconductor region beside the gate electrode and at a position shallower than an implantation projected range of the extension high concentration diffusion layer.
    Type: Grant
    Filed: August 19, 2003
    Date of Patent: February 8, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Taiji Noda
  • Patent number: 6849526
    Abstract: A buried bit line and a fabrication method thereof, wherein the device includes a substrate, a shallow doped region disposed in the substrate, a deep doped region disposed in the substrate below a part of the shallow doped region, wherein the shallow doped region and the deep dope region together form a bit line of the memory device.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: February 1, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Jiun-Ren Lai, Chun-Yi Yang, Shi-Xian Chen, Gwen Chang
  • Patent number: 6846727
    Abstract: Methods for forming a patterned SOI region in a Si-containing substrate are provided which has geometries of about 0.25 ?m or less. The methods disclose each utilize a patterned dielectric mask that includes at least one opening having a size of about 0.25 ?m or less which exposes a portion of a Si-containing substrate. Oxygen ions are implanted through the opening using at least a base ion implantation process which is carried out at an oxygen beam energy of about 120 keV or less and an oxygen dosage of about 4E17 cm?2 or less. These conditions minimize erosion of the vertical edges of the patterned dielectric mask and minimize formation of lateral straggles.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: January 25, 2005
    Assignee: International Business Machines Corporation
    Inventors: Keith E. Fogel, Mark C. Hakey, Steven J. Holmes, Devendra K. Sadana, Ghavam G. Shahidi
  • Patent number: 6838329
    Abstract: A method and apparatus to form a high-concentration, indium-fluorine retrograde well within a substrate. The indium-fluorine retrograde well includes an indium concentration greater than about 3E18/cm3.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: January 4, 2005
    Assignee: Intel Corporation
    Inventors: Cory E. Weber, Mark A. Armstrong, Stephen M. Cea, Giuseppe Curello, Sing-Chung Hu, Aaron D. Lilak, Max Wei
  • Patent number: 6835626
    Abstract: A method of forming a stable junction on a microelectronic structure on a semiconductor wafer having a silicon surface layer on a substrate includes the following steps: implanting dopant ions into the surface layer; cleaning and oxidizing the surface layer, and twice annealing the wafer to recover a damaged silicon crystal structure of the surface layer resulting from the low energy ion implantation. The first annealing process uses a temperature range of 800° C. to 1200° C. for a duration from about a fraction of a second to less than about 1000 seconds, with a ramp-up rate of about 50° C./second to about 1000° C./second. The second annealing process uses a temperature range of 400° C. to 650° C. for a time period of from about 1 second to about 10 hours, and more preferably, from about 60 seconds to about 1 hour. Both annealing processes include cooling processes.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: December 28, 2004
    Assignee: University of Houston
    Inventors: Wei-Kan Chu, Lin Shao, Jiarui Liu
  • Patent number: 6833313
    Abstract: There is provided a method of manufacturing a semiconductor device, in which removal of the resist after ion implantation becomes easy. In order to solve the above problem, the manufacturing method includes a step of removing a resist mask after a step of implanting an ion of a rare gas element. Also, another manufacturing method includes a first step of implanting an ion of an impurity element for imparting a conductivity type, a second step of implanting an ion of a rare gas element, and a third step of removing a resist mask after the first step and the second step.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: December 21, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shigenori Hayakawa