Using Same Conductivity-type Dopant Patents (Class 438/529)
  • Patent number: 6376343
    Abstract: Deleterious roughness of metal silicide/doped Si interfaces arising during conventional salicide processing for forming shallow-depth source and drain junction regions of MOS transistors and/or CMOS devices due to poor compatibility of particular dopants and metal suicides is avoided, or at least substantially reduced, by implanting a first (main) dopant species having relatively good compatibility with the metal silicide, such that the maximum concentration thereof is at a depth above the depth to which silicidation reaction occurs and implanting a second (auxiliary) dopant species having relatively poor compatibility with the metal silicide, wherein the maximum concentration thereof is less than that of the first (main) dopant and is at a depth below the depth to which silicidation reaction occurs. The invention enjoys particular utility in forming NiSi layers on As-doped Si substrates.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: April 23, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew S. Buynoski, Paul R. Besser, Qi Xiang
  • Patent number: 6368937
    Abstract: A process of etching a semiconductor substrate and forming a trench which becomes a positioning mark on the semiconductor substrate, forming a burying film to fill the trench, forming a mask layer having an aperture to expose the trench, introducing an impurity to the trench with the mask layer used as the mask, and recessing the burying film in the trench which becomes the positioning mark.
    Type: Grant
    Filed: December 8, 1999
    Date of Patent: April 9, 2002
    Assignee: Fujitsu Limited
    Inventor: Shunji Nakamura
  • Patent number: 6365463
    Abstract: A process for forming high-precision analog transistors with a low threshold voltage roll-up and digital transistors with a high threshold voltage roll-up is disclosed. The process selectively implants the polysilicon layer that forms the gates of the analog transistors so that the doping concentration of the analog gates is greater than the doping concentration of the digital gates.
    Type: Grant
    Filed: May 21, 1999
    Date of Patent: April 2, 2002
    Assignee: National Semiconductor Corporation
    Inventors: Alexander Kalnitsky, Albert Bergemont
  • Patent number: 6362035
    Abstract: A method for incorporating an ion implanted channel stop layer under field isolation for a twin-well CMOS process is described in which the layer is placed directly under the completed field isolation by a blanket boron ion implant over the whole wafer. The channel stop implant follows planarization of the field oxide and is thereby essentially at the same depth in both field and active regions. Subsequently implanted p- and n-wells are formed deeper than the channel stop layer, the n-well implant being of a sufficiently higher dose to over compensate the channel stop layer, thereby removing it's effect from the n-well. A portion of the channel stop implant under the field oxide adjacent the p-well provides effective anti-punchthrough protection with only a small increase in junction capacitance. The method is shown for, and is particularly effective in, processes utilizing shallow trench isolation.
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: March 26, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jiaw-Ren Shih, Shui-Hung Chen, Jian-Hsing Lee, Hsien-Chin Lin
  • Patent number: 6362058
    Abstract: A method of fabricating an integrated circuit (10, 51, 61, 71, 81, 91) includes forming on the upper surface (13) of a substrate (12) a part (18) which has thereon a side surface (19). A plurality of sidewalls (22, 27 and 83-84) are then formed in succession, outwardly from the side surface. A plurality of successive implants (21, 26, 31, 73-74, 87-88, 93-94) are introduced into the substrate, where a respective different subset of the sidewalls is present when each implant is created. The formation of sidewalls and implants may be carried out in an alternating manner, followed by removal of the sidewalls. Alternatively, removal of the sidewalls and formation of the implants may be carried out in an alternating manner. The width of each sidewall may be sublithographic, and the cumulative width of all sidewalls may be sublithographic.
    Type: Grant
    Filed: October 26, 2000
    Date of Patent: March 26, 2002
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 6362055
    Abstract: A semiconductor device includes a first gate stack and a second gate stack, each gate stack corresponding to a gate of a FET formed on the semiconductor device. The first gate stack includes a gate material formed from one of poly-silicon, poly-SiGe, and amorphous silicon. The gate material is implanted with a dopant of a first conductivity type at a first concentration. A metal silicide layer is formed over the doped gate material. The second gate stack includes a gate material formed from one of poly-silicon, poly-Si—Ge, and amorphous silicon. The gate material of the second gate stack is implanted with a dopant of a second conductivity type at a second concentration.
    Type: Grant
    Filed: August 31, 1998
    Date of Patent: March 26, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ming-Ren Lin, Bin Yu
  • Patent number: 6329253
    Abstract: A method for forming a novel thick oxide electrostatic discharge device using shallow trench isolation technology is described. A trench is etched into a semiconductor substrate. An oxide layer is deposited overlying the semiconductor substrate and filling the trench. The oxide within the trench is partially etched away leaving the oxide on the sidewalls and bottom of the trench. The oxide is polished away to the surface of the semiconductor substrate whereby oxide remains only on the sidewalls and bottom of the trench. A gate is formed within the trench whereby the gate is surrounded by the oxide. First ions are implanted into the semiconductor substrate adjacent to the trench to form N-wells. Second ions are implanted into the semiconductor substrate in a top portion of the N-wells to form source/drain regions. Third ions are implanted into the semiconductor substrate underlying the N-wells and underlying the trench to form electrostatic discharge trigger taps.
    Type: Grant
    Filed: November 5, 1999
    Date of Patent: December 11, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun Song, Yonqzang Zhang, Shyue Fong Quek, Ting Cheong Ang, Jun Cai, Puay Ing Ong
  • Patent number: 6326251
    Abstract: A method of forming a transistor includes forming a source/drain implant in the initial processing stages just after the formation of the isolation and active regions on the substrate. A uniform nitride layer is formed over the surface of the substrate on top of a dielectric layer. A silicide metal is then deposited and reacted with the underlying silicon to form a salicide over the source and drain regions. A second dielectric layer is then formed on top of the salicide and is formed to be selective relative to the nitride layer. Thereafter, the nitride layer is removed and a final gate dielectric is then formed. Finally, a metal gate conductor is formed on top of the gate dielectric. The metal gate conductor is formed only after all annealing steps are performed to prevent the metal from spiking through the gate dielectric thereby ruining the device.
    Type: Grant
    Filed: January 12, 1999
    Date of Patent: December 4, 2001
    Assignee: Advanced Micro Devices
    Inventors: Mark I. Gardner, H. Jim Fulford, Jr., Thomas E. Spikes, Jr.
  • Patent number: 6323091
    Abstract: A method for manufacturing a semiconductor device in which ROM programming ion implantation is performed by utilizing the same mask as used for implanting dopant in MOS transistors. The ROM programming ion implantation is conducted under the same conditions as the MOS transistor forming step. Only a single mask needs to be modified for the programming, thus reducing cost and complexity of manufacturing the device.
    Type: Grant
    Filed: July 16, 1999
    Date of Patent: November 27, 2001
    Assignee: Zilog, Inc.
    Inventors: Sungkwon Lee, Timothy K. Carns
  • Publication number: 20010044191
    Abstract: A method for manufacturing semiconductor device includes the steps of providing a substrate that has a gate electrode thereon, and then forming a dielectric layer over the substrate. The dielectric layer is conformal to the profile of the substrate and has a definite thickness. Thereafter, using the gate electrode and that portion of the dielectric layer next to the sidewalls of the gate electrode as a mask, a first ion implantation is carried out. Hence, a doped drain region is formed in the substrate and a channel region is formed in the substrate just under the gate electrode. Subsequently, spacers are formed over the exposed dielectric layer next to the sidewalls of the gate electrode. Finally, using a portion of the dielectric layer next to the sidewalls of the gate electrode and the spacers as a mask, a second ion implantation is carried out. Hence, source/drain regions are formed in the substrate on each side of the gate electrode.
    Type: Application
    Filed: January 20, 1999
    Publication date: November 22, 2001
    Inventors: SHIANG HUANG-LU, MU-CHUN WANG
  • Patent number: 6309929
    Abstract: A method for fabricating trench MOS devices and termination structure simultaneously is disclosed. The MOS devices can be Schottky diode, IGBT or DMOS depending on the semiconductor substrate prepared. The method comprises following steps: firstly, forming a plurality of first trenches for forming the trench MOS devices in an active region, and a second trench for forming the termination structure. Thereafter, a thermal oxidation process to form a gate oxide on all areas is performed. Then, the first trenches and the second trench are refilled with a first conductive material. An etching back is carried out to remove excess first conductive material so as to form spacer in the second trench and to fill the first trenches only. Next, the gate oxide layer is removed. For IGBT or DMOS device, an extra thermal oxidation and an etching step are required to form inter-conductive oxide layer whereas for Schottky diode, these two steps are skipped.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: October 30, 2001
    Assignee: Industrial Technology Research Institute and Genetal Semiconductor of Taiwan, Ltd.
    Inventors: Chih-Wei Hsu, Chung-Min Liu, Ming-Che Kao, Ming-Jinn Tsai, Pu-Ju Kung
  • Patent number: 6309921
    Abstract: The semiconductor device comprises a semiconductor substrate 10 of a first conduction-type, first wells 20a, 20b of a second conduction-type formed in a first region on the primary surface of the semiconductor substrate 10, a second well 22a formed in a second region on the primary surface of the semiconductor substrate 10 other than the first region, a third well 22b of the first conduction-type formed in the first well, and high-concentration impurity-doped layers 26 of the first conduction-type formed in deep portions of the semiconductor substrate spaced from the primary surface of the semiconductor device in device regions. In the semiconductor device having triple wells according to the present invention, the high-concentration impurity-doped layers are formed in deep portions inside of the device regions. Accordingly, in the case where the wells have a low concentration so that the transistors have a low threshold voltage, the deep portions of the wells can independently have a high concentration.
    Type: Grant
    Filed: March 17, 1997
    Date of Patent: October 30, 2001
    Assignee: Fujitsu Limited
    Inventors: Taiji Ema, Kazuo Itabashi, Shinichiroh Ikemasu, Junichi Mitani, Itsuo Yanagita, Seiichi Suzuki
  • Patent number: 6297108
    Abstract: The present invention provides a method of forming a doped region with a DDD on a semiconductor wafer. The semiconductor wafer comprises a silicon substrate, a pad oxide layer, and a silicon nitride layer that is used to define an active area. A lithographic process is performed to define a position of the DDD. Then a first ion implantation process is performed to implant a specific dosage of dopants into the silicon substrate. The photoresist layer is then removed completely. A thermal oxidation process is performed to form a field oxide layer in the region not covered by the silicon nitride layer, and to simultaneously drive the dopants into the silicon substrate so as to form a doped region. The silicon nitride layer and the pad oxide layer are removed. Then a poly gate and a spacer are formed. A second ion implantation process is performed to implant ions into the silicon substrate so as to form the doped region with a DDD structure in the N-type MOS transistor.
    Type: Grant
    Filed: March 10, 2000
    Date of Patent: October 2, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Tung-Yuan Chu
  • Patent number: 6294452
    Abstract: An ovonic phase-change semiconductor memory device having a reduced area of contact between electrodes of chalcogenide memories, and methods of forming the same. Such memory devices are formed by forming a tip protruding from a lower surface of a lower electrode element. An insulative material is applied over the lower electrode such that an upper surface of the tip is exposed. A chalcogenide material and an upper electrode are either formed atop the tip, or the tip is etched into the insulative material and the chalcogenide material and upper electrode are deposited within the recess. This allows the memory cells to be made smaller and allows the overall power requirements for the memory cell to be minimized.
    Type: Grant
    Filed: June 2, 2000
    Date of Patent: September 25, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Trung T. Doan, D. Mark Durcan, Brent D. Gilgen
  • Patent number: 6281086
    Abstract: A semiconductor device and a method of fabricating the same is provided, wherein the semiconductor device exhibits a lower gate delay time when compared to that of a conventional semiconductor device. The reduction of gate delay time is achieved by providing a conductive layer enclosing the gate electrode so as to significantly increase the surface portion of the gate electrode having a low electric resistance. For example, providing a substantially inverted U-shaped silicide layer enclosing the gate electrode leads to a decrease in the electrical resistance of about 67% with a given aspect ratio of about 1. Moreover, reducing the gate length, i.e., increasing the aspect ratio of the gate electrode results in a nearly complete independence of the gate resistance from the gate length.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: August 28, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Manfred Horstmann, Tilo Mantei
  • Patent number: 6245608
    Abstract: A method of contact ion implantation is disclosed. Only one mask and a dosage-enhanced implantation is utilized to form different types of doped contact regions. A blanket ion implantation is first carried out, and all the contact regions of first and second type are formed with the first conductive type impurities. Then a mask is defined to cover the first type contact regions and expose the second type regions. A second ion implantation is now carried out to implant impurity ions of second conductive type into the second type contact regions. The dosage of these second conductive type ions is determined so that, the second type contact regions are convert from the first conductive type into section conductive type.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: June 12, 2001
    Assignee: Mosel Vitelic Inc.
    Inventors: Tsai-Sen Lin, Chon-Shin Jou, Der-Tsyr Fan
  • Patent number: 6245592
    Abstract: A method for forming complementary metal-oxide semiconductor sensor is disclosed. The method includes the following steps. Firstly, a semiconductor substrate is provided. A first oxide layer is formed on the surface of the semiconductor substrate. A nitride layer is formed on the surface of the first oxide layer. Thus, p-type ions are first implanted into the semiconductor substrate to form a p-type well region. The p-type well region is annealed. The nitride layer is removed. The first oxide layer is removed. The second oxide layer is deposited on the surface of the semiconductor substrate. The p-type ions are secondly implanted into the second p-type well region to form a p-type field. The p-type field is annealed. The n-type ions are thirdly implanted into the semiconductor substrate as an n-type region abutting the oxide layer below. The n+-type ions are fourthly implanted into the n-type region as n+-type regions.
    Type: Grant
    Filed: May 17, 2000
    Date of Patent: June 12, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Sheng-Hsiung Yang
  • Patent number: 6242288
    Abstract: The collector (anode) of a non punch through IGBT formed in a float zone silicon monocrystaline wafer is formed with a DMOS top structure and is thereafter ground at its bottom surface to a less than 250 micron thickness. A shallow P type implant is then made in the bottom surface and the wafer is then heated in vacuum to about 400° C. for about 30 to 60 seconds to remove moisture and other contaminants from the bottom surface. An aluminum layer is then sputtered on the bottom surface, followed by other metals to form the bottom electrode. No activation anneal is necessary to activate the weak collector junction.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: June 5, 2001
    Assignee: International Rectifier Corp.
    Inventors: Richard Francis, Chiu Ng
  • Patent number: 6238975
    Abstract: In a non-volatile memory comprising a region 2 for core memory cells and a peripheral region 4a on a substrate 6, a method for improving electrostatic discharge (ESD) robustness of the non-volatile memory comprises the steps of lightly doping the source and drain regions 18 and 20 of a peripheral transistor 12 in the peripheral region 4a with a first n-type dopant, providing a double diffusion implant mask 10 having an opening over the region 2 for the core memory cells and also an opening 8 over the peripheral region 4a, and performing a double diffusion implantation through the opening 8 over the peripheral region 4a. In an embodiment, the step of performing the double-diffusion implantation comprises the steps of implanting a second n-type dopant comprising phosphorus into the source and drain regions 18 and 20, and implanting a third n-type dopant comprising arsenic into the source and drain regions 18 and 20 subsequent to the step of implanting the second n-type dopant.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: May 29, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael David Fliesler, Mark W. Randolph
  • Publication number: 20010001694
    Abstract: In one aspect, the invention includes a method of maintaining dimensions of an opening in a semiconductive material stencil mask comprising providing two different dopants within a periphery of the opening, the dopants each being provided to a concentration of at least about 1017 atoms/cm3.
    Type: Application
    Filed: December 12, 2000
    Publication date: May 24, 2001
    Inventor: J. Brett Rolfson
  • Patent number: 6235599
    Abstract: A shallow doped junction that is part of an integrated circuit device within a semiconductor substrate is formed with box-shaped implant profiles for implantation of the amorphizing implant species and the dopant implant species such that the doped junction has minimized sheet resistance. A box-shaped implant profile for implantation of the amorphizing implant species is formed from implantation of the amorphizing implant species with a plurality of projection ranges to form a plurality of implant profiles. A box-shaped implant profile for implantation of the dopant implant species is formed from implantation of the dopant implant species with a plurality of projection ranges to form a plurality of implant profiles. In addition, each of the plurality of implant profiles for the dopant implant species is preferably below the solid solubility of the dopant implant species within the semiconductor substrate.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: May 22, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6232182
    Abstract: A non-volatile semiconductor memory device including a memory cell having a memory transistor and a selection transistor, comprising: a composite gate structure of the memory transistor formed on a surface of a semiconductor substrate at its first region with a first insulating film interposed therebetween and including a laminate of a floating gate electrode, a second insulating film and a control gate electrode; a gate electrode of the selection transistor formed on the surface of the semiconductor substrate at its second region close to the first region with a third insulating film interposed therebetween; and an impurity diffusion layer formed in the semiconductor substrate at its region between the first and second regions and functioning as a drain of the memory transistor, common to a source of the selection transistor, the impurity diffusion layer having at least an extension region extending to a part of the semiconductor substrate disposed under the composite gate structure, the extension region hav
    Type: Grant
    Filed: May 1, 1998
    Date of Patent: May 15, 2001
    Assignee: Nippon Steel Corporation
    Inventor: Fumitaka Sugaya
  • Patent number: 6218279
    Abstract: A fuse for semiconductor devices in accordance with the present invention includes a substrate having a conductive path disposed on a surface thereof, a dielectric layer disposed on the substrate and a vertical fuse disposed perpendicularly to the surface through the dielectric layer and connecting to the conductive path, the vertical fuse forming a cavity having a liner material disposed along vertical surfaces of the cavity, the vertical surfaces being melted to blow the fuse. Methods for fabrication of the vertical fuse are also included.
    Type: Grant
    Filed: February 24, 2000
    Date of Patent: April 17, 2001
    Assignees: Infineon Technologies North America Corp., International Business Machines Corporation
    Inventors: Stefan J. Weber, Axel Christoph Brintzinger, Roy Iggulden, Mark Hoinkis, Chandrasekhar Narayan, Robert Van den Berg
  • Patent number: 6218250
    Abstract: A semiconductor device includes a substrate, a gate structure, a plurality of sidewall spacers, and a plurality of first silicide layers. The gate structure is positioned above the substrate. The plurality of sidewall spacers are positioned adjacent to the gate structure. The first silicide layers are positioned in the substrate and have first ends that extend underneath the sidewall spacers. A method for forming a semiconductor device includes forming a gate structure above a substrate. A plurality of sidewall spacers are formed adjacent the gate structure. An implant material is disposed into the substrate using a tilted implantation process that is adapted to form first implant regions in the substrate. The implant regions have first ends that extend underneath the sidewall spacers by a first distance.
    Type: Grant
    Filed: June 2, 1999
    Date of Patent: April 17, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frederick N. Hause, Karsten Wieczorek, Manfred Horstmann
  • Patent number: 6218226
    Abstract: The invention discloses a method of forming an ESD protection device without adding the extra mask layers into the traditional CMOS process. At first, P-wells, N-wells, and isolations are formed in a semiconductor substrate. Next, an NMOS transistor with a gate dielectric layer, a gate electrode, source/drain regions, lightly doped source/drain regions, and insulator spacers is formed on the substrate. Particularly, N-wells are also formed in a part of the source/drain regions of the NMOS transistor. Thereafter, ESD protection regions are formed under the source/drain regions by performing P+ ESD protection implantation. Such ESD protection device has a low junction breakdown voltage, quick response speed, and a small junction capacitance.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: April 17, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Geeng-Lih Lin, Ming-Dou Der
  • Patent number: 6200887
    Abstract: A method for forming gate structures with smooth sidewalls by amorphizing the polysilicon along the gate boundaries is described. This method results in minimal gate depletion effects and improved critical dimension control in the gates of smaller devices. The method involves providing a gate silicon oxide layer on the surface of the semiconductor substrate. A gate electrode layer, such as polysilicon is deposited over the gate silicon oxide followed by a masking oxide layer deposited over the gate electrode layer. The masking oxide layer is patterned for the formation of the gate electrode. An ion implantation of silicon or germanium amorphizes the area of the polysilicon not protected by the masking oxide mask and also amorphizes the area along the boundaries of the polysilicon gate.
    Type: Grant
    Filed: January 24, 2000
    Date of Patent: March 13, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd
    Inventors: Palanivel Balasubramaniam, Narayanan Balasubramanian, Yelehanka Ramachandramurthy Pradeep, Arjun Kantimahanti
  • Patent number: 6180471
    Abstract: A method of fabricating a high voltage semiconductor device. A semiconductor substrate doped with a first type dopant and comprising a gate is provided. A cap oxide layer is formed on the gate optionally. A first ion implantation with a light second type dopant at a wide angle is performed to form a lightly doped region. A spacer is formed on a side wall of the gate. A second ion implantation with a heavy second type dopant is performed, so that a heavily doped region is formed within the lightly doped region.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: January 30, 2001
    Assignee: United Semiconductor Corp.
    Inventors: Peter Chang, Gary Hong, Joe Ko
  • Patent number: 6174759
    Abstract: In the manufacture of integrated circuits with an embedded non-volatile memory, it is known to first provide the greater part of the memory and subsequently provide the CMOS logic in a second series of steps of a standard CMOS process. By virtue of this separation of process steps, it is possible to optimize the non-volatile memory substantially without degrading the logic. According to the invention, this process is further optimized in that, particularly for the periphery of the memory, and simultaneously with the memory transistors (21, 24, 27), transistors are manufactured which can cope with a higher voltage than the transistors of the logic. In the case of an EEPROM, each cell of the memory is provided with such a high-voltage transistor as a selection transistor (22, 24).
    Type: Grant
    Filed: May 3, 1999
    Date of Patent: January 16, 2001
    Assignee: U.S. Philips Corporation
    Inventors: Robertus D. J. Verhaar, Joachim C. H. Garbe, Guido J. M. Dormans
  • Patent number: 6171912
    Abstract: The invention relates to a method of manufacturing a field effect transistor, in particular a discrete field effect transistor, comprising a source region (1) and a drain region (2) and, between said regions, a channel region (4) above which a gate region (3) is located. The gate region (3) is formed by applying an insulating layer (5) to the semiconductor body and providing this insulating layer with a stepped portion (6) in the thickness direction, whereafter a conductive layer (30) is applied to the surface of the semiconductor body (10), which layer is substantially removed again by etching, so that a part (3A) of the conductive layer (30), which part forms part of the gate region (3) and which lies against the stepped portion (6), remains intact.
    Type: Grant
    Filed: November 19, 1998
    Date of Patent: January 9, 2001
    Assignee: U.S. Philips Corporation
    Inventor: Louis Praamsma
  • Patent number: 6162668
    Abstract: A high withstand voltage semiconductor device includes a semiconductor substrate of a first conductivity type, a metallic wiring formed on a surface of the semiconductor substrate and having a contact face with said semiconductor substrate, a highly doped impurity region formed within the semiconductor substrate below the contact face and of a second conductivity type, a lightly doped impurity region formed around the highly doped impurity region and of the second conductivity type, and a MOSFET with a second conductivity-type having a source or drain region formed on the surface of the semiconductor substrate and electrically connected to the metallic wiring through the impurity regions.
    Type: Grant
    Filed: March 3, 1999
    Date of Patent: December 19, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hidekazu Oda, Tomohiro Yamashita
  • Patent number: 6159805
    Abstract: An electronic semiconductor device (20) with a control electrode (19) consisting of self-aligned polycrystalline silicon (4) and silicide (12), of the type in which said control electrode (19) is formed above a portion (1) of semiconductor material which accommodates active areas (9) of the device (20) laterally with respect to the electrode, has the active areas (9) at least partially protected by an oxide layer (10) while the silicide layer (12) is obtained by means of direct reaction between a cobalt film deposited on the polycrystalline silicon (4) and on the oxide layer (10). (FIG.
    Type: Grant
    Filed: September 21, 1998
    Date of Patent: December 12, 2000
    Assignee: STMicroelectronics S.r.l.
    Inventors: Antonello Santangelo, Giuseppe Ferla
  • Patent number: 6159813
    Abstract: A process for grading the junctions of a lightly doped drain (LDD) N-channel MOSFET by performing a low dosage phosphorous implant after low and high dosage arsenic implants have been performed during the creation of the N- LDD regions and N+ source and drain electrodes. The phosphorous implant is driven to diffuse across both the electrode/LDD junctions and the LDD/channel junctions.
    Type: Grant
    Filed: March 17, 1997
    Date of Patent: December 12, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Aftab Ahmad, Charles Dennison
  • Patent number: 6156615
    Abstract: A semiconductor device and method of forming contacts for the semiconductor device performs a retrograde implant of dopant in the source/drain regions so that the concentration of the dopant within these regions is highest at a predetermined depth below the top surface of the substrate. This depth is made to coincide with the bottom surfaces of the silicide contacts at the source/drain regions. Since the bottom of the silicide contacts are located at the region of greatest doping concentration within the source/drain junctions, the contact resistance is maintained relatively low while the sheet resistance may be made lower by increasing the thickness of the silicide contacts.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: December 5, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Nick Kepler
  • Patent number: 6117739
    Abstract: A semiconductor device can be formed with active regions disposed in a substrate adjacent to a gate electrode and a doped region, of the same conductivity type as the active regions, embedded beneath the channel region defined by the active regions. In one embodiment, a patterned masking layer having at least one opening is formed over the substrate. A dopant material is implanted into the substrate using the masking layer to form active regions adjacent to the opening and an embedded doped region that is between and spaced apart from the active regions and is deeper in the substrate then the active regions. In addition or alternatively, spacer structures can be formed on the gate electrode by forming a conformal dielectric layer along a bottom surface and at least one sidewall of the opening and forming a gate electrode in the opening over the dielectric layer.
    Type: Grant
    Filed: October 2, 1998
    Date of Patent: September 12, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Fred N. Hause, Charles E. May
  • Patent number: 6103577
    Abstract: A flash memory structure is formed by a method comprising the steps of providing a semiconductor substrate, and then forming a shallow first trench within the substrate. Thereafter, a buried doped region is formed underneath the first trench so that the buried doped region is at a distance from the substrate surface. The buried doped region is one major aspect in this invention that can be applied to the processing of shallow trench isolation and is capable of reducing device area. Next, a deeper second trench is etched in the substrate. The second trench has a greater depth than the depth of the first trench. Subsequently, insulating material is deposited into the first and the second trench, and then a stacked gate structure is formed above the substrate. Later, the surface source region and drain region are formed on two sides of the stacked gate structure. Through thermal operation, the surface source region alternately connects with the buried doped region to form a buried common source region.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: August 15, 2000
    Assignee: United Semiconductor Corp.
    Inventor: Weiching Horng
  • Patent number: 6103589
    Abstract: A method for fabricating a high-voltage device substrate comprising the steps of forming a pad oxide layer and a mask layer over a substrate. Then, the pad oxide layer and the mask layer are patterned to define a region for a first ion implantation. Next, the exposed substrate is oxidized to form a field oxide layer. Thereafter, the mask layer is removed followed by a first ion implantation. Next, the field oxide layer is completely removed. Subsequently, a photoresist layer is formed over the first ion implanted region. This is followed by a second ion implantation. Then, a conformal oxide layer is formed covering the substrate surface. Next, a high temperature drive-in and oxidation operation is carried out, in which ions in the first ion implanted region and the second ion implanted region are driven deeper into the substrate interior, and at the same time the substrate above those regions are oxidized.
    Type: Grant
    Filed: June 24, 1998
    Date of Patent: August 15, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Jia-Sheng Lee
  • Patent number: 6103602
    Abstract: A system and method for providing a memory cell on a semiconductor is disclosed. The memory cell has a source and a drain. The method and system include providing a source implant in the semiconductor, providing a pocket implant in the semiconductor, and providing a drain implant in the semiconductor after the pocket implant is provided. Thus, short channel effects are reduced.
    Type: Grant
    Filed: December 17, 1997
    Date of Patent: August 15, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Timothy J. Thurgate, Vei-Han Chan
  • Patent number: 6096616
    Abstract: A transistor and transistor fabrication method are presented in which a graded junction is formed using a plurality of source/drain dopant implants. The implants are performed such that higher concentrations of dopant species are implanted at lower energies and lower dopant concentrations are implanted at higher energies. In an embodiment, an anneal step is used to create the graded junction by exploiting the concentration dependence of the dopant diffusivity (i.e., dopant species implanted in regions of high concentration are more mobile than dopant species implanted in regions of low concentration). Sub-0.25-micron transistors formed by the process described herein may be less susceptible to deleterious capacitive loading and parasitic resistance than transistors having conventionally formed lightly doped drain and source/drain implants.
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: August 1, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: John L. Nistler, Mark W. Michael
  • Patent number: 6096605
    Abstract: A method of fabricating a non-volatile flash memory device, wherein a gate structure is formed on a substrate. The method includes at least the following steps. The substrate is implanted with first ions to form a source region in the substrate. A tunneling oxide layer is formed on the substrate. A silicon nitride layer is formed on the substrate. The silicon nitride is etched back to form a silicon nitride spacer on the sides of the gate structure. The substrate is implanted with second ions to form a drain region in the substrate. An oxide layer is formed over the substrate and the gate structure. Then, a polysilicon layer is formed on the oxide layer. The gate structure is used as a selection gate, the silicon nitride spacer is used to store electrons, and the polysilicon layer is used as a controlling gate. The flash memory device can free memory cells by from the influences of over-erased effect.
    Type: Grant
    Filed: May 12, 1998
    Date of Patent: August 1, 2000
    Assignee: United Semiconductor Corp.
    Inventor: Gary Hong
  • Patent number: 6090669
    Abstract: A fabrication method for high voltage power devices with at least one deep edge ring includes the steps of growing a lightly doped N-type epitaxial layer on a heavily doped N-type substrate, growing an oxide on the upper portion of the epitaxial layer, masking and then implanting boron ions, etching the oxide to expose regions for aluminum ion implantation, forming a layer of preimplantation oxide, masking of the body regions with a layer of photosensitive material and implanting aluminum ions, and a single thermal diffusion process forming a layer of thermal oxide on the epitaxial layer and simultaneously forming at least one deep aluminum ring and an adjacent body region doped with boron.
    Type: Grant
    Filed: October 9, 1996
    Date of Patent: July 18, 2000
    Assignee: Consorzio per la Ricerca sulla Microelectronics nel Mezzogiorno
    Inventors: Giovanni Franco, Cateno Marco Camalleri, Ferruccio Frisina
  • Patent number: 6090652
    Abstract: Disclosed is a manufacturing method of semiconductor device which can simplify the manufacturing procedures for transistors with different gate insulation film thickness in the same substrate. According to the present invention, a manufacturing method for semiconductor device having NMOS and PMOS transistors with gate insulation films of different thickness from each other, is formed by the following processes. First, a semiconductor substrate in which a low voltage NMOS transistor region, a high voltage NMOS transistor region, a low voltage PMOS transistor region, and a high voltage PMOS transistor region are defined by isolation films, is provided. Next, a N well is formed in the low and high voltage PMOS transistor regions and threshold voltage adjustment ions for high voltage PMOS transistor are then implanted into the N well.
    Type: Grant
    Filed: December 22, 1997
    Date of Patent: July 18, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Jae-Kap Kim
  • Patent number: 6087215
    Abstract: To reduce a junction leakage of an junction interface between a P type well portion formed on a P type substrate and a source region, an impurity region of a first conductive type or a second conductivity type is formed at the junction interface. A plug ion is implanted in the source region to increase a depletion depth of the source region and a counter doping is then performed in the source region to reduce an electrical field of the source region.
    Type: Grant
    Filed: December 22, 1997
    Date of Patent: July 11, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Tae Woo Kim, Jae Goan Jeong
  • Patent number: 6083800
    Abstract: The present invention discloses a high voltage semiconductor device with high breakdown voltage without increment in area occupied an increase in the size of junction region. Each junction region includes: (i) a first impurity region of a first conductivity type of a low impurity concentration formed at a predetermined position in the semiconductor substrate, (ii) a second impurity region of a second conductivity type of a medium impurity concentration formed in the first impurity region, a part of the second impurity region being exposed to the surface of the substrate, and (iii) a third impurity region of a first conductivity type of a high impurity concentration, the third impurity region being in contact with the second impurity region, wherein a reverse bias is applied to the third impurity region.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: July 4, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sang-Jun Park
  • Patent number: 6080626
    Abstract: A memory cell of the EEPROM type formed on a semiconductor material substrate having a first conductivity type includes a drain region having a second conductivity type and extending at one side of a gate oxide region which includes a thin tunnel oxide region. The memory cell also includes a region of electric continuity having the second conductivity type, being formed laterally and beneath the thin tunnel oxide region, and partly overlapping the drain region. The region of electric continuity is produced by implantation at a predetermined angle of inclination.
    Type: Grant
    Filed: December 23, 1997
    Date of Patent: June 27, 2000
    Assignee: SGS-Thomson Microelectronics S.r.l.
    Inventors: Bruno Vajana, Carlo Cremonesi, Roberta Bottini, Giovanna Dalla Libera
  • Patent number: 6071779
    Abstract: A method of fabricating a semiconductor device having a memory array (9) that includes a source line (24) is provided. The method of forming the source line (24) may include providing a semiconductor substrate (52) having a source region (60) separated from a drain region (62) by a channel region (64). An isolation structure (70) may be formed in the semiconductor substrate (52). The isolation structure (70) may cross the source region (60), the drain region (62), and the channel region (64) of the semiconductor substrate (52). An isolation dielectric material (78) may be formed within the isolation structure (70). A continuous stack structure (50) may be formed outwardly from the channel region (64) of the semiconductor substrate (52) and the isolation structure (70). A first photomask (100) may be formed outwardly from the continuous stack structure (50) and the semiconductor substrate (52).
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: June 6, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Freidoon Mehrad, Sarma S. Gunturi, Cetin Kaya, Kyle A. Picone
  • Patent number: 6066522
    Abstract: A semiconductor device include: a substrate of a conductivity type; a first well provided in the substrate and of the same conductivity type as the conductivity type of the substrate; a second well provided in the substrate and of an opposite conductivity type to the conductivity type of the substrate; and a buried well provided at a deep position in the substrate and of the opposite conductivity type to the conductivity type of the substrate. A buried well of the same conductivity type as the conductivity type of the substrate is further provided so as to be in contact with at least a part of a bottom portion of the first well so that the first well is at least partially electrically connected to the substrate.
    Type: Grant
    Filed: September 4, 1997
    Date of Patent: May 23, 2000
    Assignee: Matsushita Electronics Corporation
    Inventor: Junji Hirase
  • Patent number: 6063674
    Abstract: A method for forming high voltage devices is provided. A P-type semiconductor substrate is provided. An oxide layer is formed on the P-type semiconductor substrate. A first P-well and a second P-well are formed in the P-type semiconductor substrate. A first N-well is formed in the second p-well and a second N-well is formed in the first P-well. A field oxide layer on the second N-well and a gate oxide layer are formed on the P-type substrate. A polysilicon layer is formed and defined as a gate on the gate oxide layer across a portion of the field oxide layer and aportion of the first N-well. A source region is formed in the first N-well and a drain region is formed in the second N-well. A P.sup.+ -type doped region is formed between the substrate and the source region across a part of the first N-well within the second P-well.
    Type: Grant
    Filed: October 28, 1998
    Date of Patent: May 16, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Sheng-Hsing Yang, Kuan-Yu Fu
  • Patent number: 6063673
    Abstract: In one aspect, a method for forming a transistor device on a semiconductor substrate, comprising: a) forming a transistor gate on the substrate; b) forming a first polarity source active region and a first polarity drain active region operatively adjacent the transistor gate; and c) forming a second polarity internal junction region, the second polarity internal junction region being entirely received within one of the first polarity regions. In another aspect, a transistor device, comprising: a) a transistor gate on a semiconductor substrate; b) a first polarity source active region and a first polarity drain active region operatively adjacent the transistor gate; and c) a second polarity internal junction region entirely received within one of the first polarity regions.
    Type: Grant
    Filed: August 31, 1998
    Date of Patent: May 16, 2000
    Assignee: Micron Technology, Inc.
    Inventors: David Y. Kao, Jeff Zhiqiang Wu
  • Patent number: 6046087
    Abstract: In this invention a second gate is created in the area of the drain of a host transistor. The second gate overlies an N-well region and separates the drain of the host transistor into two portions. One portion of the drain is between the field oxide and the second gate and contains the contact for the drain. The second portion of the drain lies between the first gate which controls current in the drain and the second gate. The second gate provides a mask for the siliciding of the drain and provides a high impedance to drain current. In the event of an ESD, drain current is forced down into the N-well through one portion of the drain, under the second gate, and back up through the second portion of the drain providing a longer path and additional bulk material into which to dissipate the energy from an ESD event. Without using an extra mask to block the silicide, the second gate provides a silicide blocking effect to the drain of the ESD protection device.
    Type: Grant
    Filed: February 10, 1999
    Date of Patent: April 4, 2000
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Geeng-Lih Lin, Ming-Dou Ker
  • Patent number: RE37199
    Abstract: The nonvolatile semiconductor memory of this invention includes: a semiconductor substrate; a plurality of memory cells formed in a matrix on the semiconductor substrate, each of the memory cells including a first insulating film formed on the semiconductor substrate, a floating gate formed on the first insulating film, and a control gate formed on the floating gate via a second insulating film sandwiched therebetween, a source diffusion region, and a drain diffusion region; a diffusion layer formed in a portion of the semiconductor substrate located between two of the memory cells adjacent in a first direction, the diffusion layer including the drain diffusion region for one of the two memory cells and the source diffusion region for the other memory cell; a word line formed by connecting the control gates of the memory cells lined in the first direction; and a bit line formed by connecting the diffusion layers lined in a second direction substantially perpendicular to the first direction, wherein the memory
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: May 29, 2001
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yoshimitsu Yamauchi