Silicide Patents (Class 438/583)
  • Patent number: 7833873
    Abstract: A method (and system) of reducing contact resistance on a silicon-on-insulator device, including controlling a silicide depth in a source-drain region of the device.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brian J. Greene, Louis Lu-Chen Hsu, Jack Allan Mandelman, Chun-Yung Sung
  • Patent number: 7781316
    Abstract: A method of manufacturing a microelectronic device including forming a dielectric layer surrounding a dummy feature located over a substrate, removing the dummy feature to form an opening in the dielectric layer, and forming a metal-silicide layer conforming to the opening by a metal deposition process employing a target which includes metal and silicon. The metal-silicide layer may then be annealed.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: August 24, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Tung Lin, Chih-Wei Chang, Chii-Ming Wu, Mei-Yun Wang, Chiang-Ming Chuang, Shau-Lin Shue
  • Patent number: 7759202
    Abstract: A semiconductor device includes a first gate structure including a gate dielectric layer directly contacting the substrate, a bottom electrode on the gate dielectric layer and a top electrode on the bottom electrode, and a second gate structure including a gate dielectric layer directly contacting the substrate and a gate electrode on the gate dielectric layer.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: July 20, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Ting Lin, Li-Wei Cheng, Che-Hua Hsu, Yao-Tsung Huang, Guang-Hwa Ma
  • Patent number: 7745317
    Abstract: A semiconductor device provided with a silicon carbide semiconductor substrate, and an ohmic metal layer joined to one surface of the silicon carbide semiconductor substrate in an ohmic contact and composed of a metal material whose silicide formation free energy and carbide formation free energy respectively take negative values. The ohmic metal layer is composed of, for example, a metal material such as molybdenum, titanium, chromium, manganese, zirconium, tantalum, or tungsten.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: June 29, 2010
    Assignee: Rohm Co., Ltd.
    Inventors: Yuji Okamura, Masashi Matsushita
  • Patent number: 7732312
    Abstract: A method for making a transistor 20 that includes using a transition metal nitride layer 200 and/or a SOG layer 220 to protect the source/drain regions 60 from silicidation during the silicidation of the gate electrode 90. The SOG layer 210 is planarized to expose the transition metal nitride layer 200 or the gate electrode 93 before the gate silicidation process. If a transition metal nitride layer 200 is used, then it is removed from the top of the gate electrode 93 before the full silicidation of the gate electrode 90.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: June 8, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Yaw S. Obeng, Ping Jiang, Joe G. Tran
  • Patent number: 7732313
    Abstract: A method for making a transistor 20 that includes using a transition metal nitride layer 200 and/or a SOG layer 220 to protect the source/drain regions 60 from silicidation during the silicidation of the gate electrode 90. The SOG layer 210 is planarized to expose the transition metal nitride layer 200 or the gate electrode 93 before the gate silicidation process. If a transition metal nitride layer 200 is used, then it is removed from the top of the gate electrode 93 before the full silicidation of the gate electrode 90.
    Type: Grant
    Filed: January 5, 2009
    Date of Patent: June 8, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Yaw S. Obeng, Ping Jiang, Joe G. Tran
  • Patent number: 7682914
    Abstract: A method is described to form a MOSFET with a fully silicided gate electrode and fully silicided, raised S/D elements that are nearly coplanar to allow a wider process margin when forming contacts to silicided regions. An insulator block layer is formed over STI regions and a conformal silicidation stop layer such as Ti/TiN is disposed on the insulator block layer and active region. A polysilicon layer is deposited on the silicidation stop layer and is planarized by a CMP process to form raised S/D elements. An oxide hardmask on the gate electrode is removed to produce a slight recess between the spacers. A silicidation process yields a gate electrode and raised S/D elements comprised of NiSi. Optionally, a recess is formed in the substrate between an insulator block mask and spacer and a Schottky barrier is used instead of a silicidation stop layer to form a Schottky Barrier MOSFET.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: March 23, 2010
    Assignee: Agency for Science, Technololgy, and Research
    Inventors: Patrick Guo Qiang Lo, Wei Yip Loh, Ranganathan Nagarajan, Narayanan Balasubramanian
  • Patent number: 7645692
    Abstract: In one embodiment of the present invention, provided is a semiconductor device having a silicon substrate provided with a DRAM region containing first transistors and capacitor elements, and with a logic region containing second transistors. A minimum gate length of the second transistors provided in the logic region is smaller than a minimum gate length of the first transistors provided in the DRAM region. One of a cobalt silicide layer and a titanium silicide layer is provided on source/drain regions and on gate electrodes of the first transistors provided in the DRAM region, and a nickel-containing silicide layer is provided on source/drain regions and on gate electrodes of the second transistors provided in the logic region.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: January 12, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Yoshihisa Matsubara, Hiroki Shirai
  • Patent number: 7632744
    Abstract: Formation of an WNx film 24 constituting a barrier layer of a gate electrode 7A having a polymetal structure is effected in an atmosphere containing a high concentration nitrogen gas, whereby release of N (nitrogen) from the WNx film 24 is suppressed in the heat treatment step after the formation of the gate electrode 7A.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: December 15, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Naoki Yamamoto, Yoshikazu Tanabe, Hiroshige Kogayu, Takehiko Yoshida
  • Patent number: 7632743
    Abstract: A method of manufacturing a flash memory device includes forming a first polysilicon layer over a semiconductor substrate to form a floating gate. A tunnel dielectric layer is formed over the first polysilicon layer. A second polysilicon layer and a tungsten silicide layer are formed over the tunnel dielectric film to firm a control gate, the tungsten silicide layer having excess silicon. An upper portion of the tungsten silicide layer is oxidized to move the excess silicon away from an interface between the second polysilicon layer and the tungsten silicide.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: December 15, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Sik Jang
  • Patent number: 7625800
    Abstract: A method for fabricating a MOS transistor is suitable for modifying the configuration of a gate electrode. The method includes coating a first oxide layer on a semiconductor substrate and removing a predetermined width of the first oxide layer; forming an LDD region in the substrate; forming a gate spacer on the substrate; forming a channel in the LDD region, forming a gate oxide layer; forming a polysilicon gate electrode; and forming source/drain diffusion regions. Accordingly, a line width of the gate electrode can be reduced without employing lithography of high precision, and an area reserved for salicide can be maximally secured on the gate and source/drain regions.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: December 1, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Geun Lee
  • Patent number: 7595234
    Abstract: A method for forming a metal oxide semiconductor (MOS) transistor is provided. First, a gate structure is formed over a substrate. Then, offset spacers are formed on respective sidewalls of the gate structure. A first ion implantation process is performed to form a lightly doped drain (LDD) in the substrate beside the gate structure. Other spacers are formed on respective sidewalls of the offset spacers. Thereafter, a second ion implantation process is performed to form source/drain region in the substrate beside the spacers. Then, a metal silicide layer is formed on the surface of the source and the drain. An oxide layer is formed on the surface of the metal silicide layer. The spacers are removed and an etching stop layer is formed on the substrate. With the oxide layer over the metal silicide layer, the solvent for removing the spacers is prevented from damaging the metal silicide layer.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: September 29, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Po-Chao Tsao, Chang-Chi Huang, Ming-Tsung Chen, Yi-Yiing Chiang, Yu-Lan Chang, Chung-Ju Lee, Chih-Ning Wu, Kuan-Yang Liao
  • Patent number: 7585767
    Abstract: A gate electrode is formed on a silicon substrate, and then source/drain regions are formed at both sides of the gate electrode in the silicon substrate. Thereafter, an alloyed silicide layer is formed on the source/drain regions. The step of forming the alloyed silicide layer includes the step of depositing a first metal film, a nickel film and a second metal film in this order to form a multilayer metal film and the step of performing heat treatment after the formation of the multilayer metal film.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: September 8, 2009
    Assignee: Panasonic Corporation
    Inventors: Yasutoshi Okuno, Michikazu Matsumoto
  • Patent number: 7572722
    Abstract: A semiconductor device having nickel silicide and a method for fabricating nickel silicide. A semiconductor substrate having a plurality of doped regions is provided. Subsequently, a nickel layer is formed on the semiconductor substrate, and a first rapid thermal process (RTP) is performed to react the nickel layer with the doped regions disposed there under. Thereafter, the unreacted nickel layer is removed, and a second rapid thermal process is performed to form a semiconductor device having nickel silicide. The second rapid thermal process is a spike anneal process whose process temperature is between 400 and 600° C.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: August 11, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Chao-Ching Hsieh, Yi-Yiing Chiang, Tzung-Yu Hung, Yu-Lan Chang, Po-Chao Tsao, Chang-Chi Huang, Ming-Tsung Chen
  • Patent number: 7560379
    Abstract: In one aspect, the invention provides a method of fabricating a semiconductive device 200 that comprises forming a raised layer [510] adjacent a gate [340] and over a source/drain [415], depositing a silicidation layer [915] over the gate [340] and the raised layer [510], and moving at least a portion of the silicidation layer [915] into the source/drain [415] through the raised layer [510].
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: July 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manfred B. Ramin
  • Patent number: 7553729
    Abstract: A method of manufacturing a non-volatile memory device includes the steps of forming gates respectively having a structure in which a gate insulating layer, a first conductive layer, a dielectric layer, a second conductive layer and a metal-silicide layer are laminated over a semiconductor substrate, annealing the metal-silicide layer at a temperature, which is the same as or lower than an annealing temperature of the dielectric layer, forming a buffer oxide layer on the entire surface, and forming a nitride layer on the buffer oxide layer.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: June 30, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Yeol Choi
  • Publication number: 20090163005
    Abstract: A method of fabricating an N-type Schottky barrier Source/Drain Transistor (N-SSDT) with ytterbium silicide (YbSi2-x) for source and drain is presented. The fabrication of YbSi2-x is compatible with the normal CMOS process but ultra-high vacuum, which is required for ErSi2-x fabrication, is not needed here. To prevent oxidation of ytterbium during ex situ annealing and to improve the film quality, a suitable capping layer stack has been developed.
    Type: Application
    Filed: February 9, 2009
    Publication date: June 25, 2009
    Inventors: Shiyang Zhu, Jingde Chen, Sungjoo Lee, Ming Fu Li, Jagar Singh, Chunxiang Zhu, Dim-Lee Kwong
  • Patent number: 7550372
    Abstract: A method of fabricating conductive lines is described. A substrate having a polysilicon layer thereon is provided. A mask layer having an opening that exposes the polysilicon layer is formed on the polysilicon layer. Then, spacers are formed on the sidewalls of the mask layer. Using the mask layer and the spacers as a mask, a portion of the polysilicon layer is removed until the substrate is exposed. After that, an insulating layer that completely fills the opening is formed over the substrate. The insulating layer has an etching selectivity different from the mask layer. Thereafter, the mask layer is removed to expose the polysilicon layer and then a metal silicide layer is formed on the upper surface of the polysilicon layer.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: June 23, 2009
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Su-Yuan Chang, Min-San Huang, Hann-Jye Hsu
  • Patent number: 7531423
    Abstract: In a first aspect, a first method of manufacturing a finFET is provided. The first method includes the steps of (1) providing a substrate; and (2) forming at least one source/drain diffusion region of the finFET on the substrate. Each source/drain diffusion region includes (a) an interior region of unsilicided silicon; and (b) silicide formed on a top surface and sidewalls of the region of unsilicided silicon. Numerous other aspects are provided.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: May 12, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Louis Lu-Chen Hsu, Jack Allan Mandelman, Haining Yang
  • Patent number: 7504328
    Abstract: A method of fabricating an N-type Schottky barrier Source/Drain Transistor (N-SSDT) with ytterbium silicide (YbSi2-x) for source and drain is presented. The fabrication of YbSi2-x is compatible with the normal CMOS process but ultra-high vacuum, which is required for ErSi2-x fabrication, is not needed here. To prevent oxidation of ytterbium during ex situ annealing and to improve the film quality, a suitable capping layer stack has been developed.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: March 17, 2009
    Assignee: National University of Singapore
    Inventors: Shiyang Zhu, Jingde Chen, Sungjoo Lee, Ming Fu Li, Jagar Singh, Chunxiang Zhu, Dim-Lee Kwong
  • Patent number: 7501333
    Abstract: A fully silicided gate with a selectable work function includes; a gate dielectric over the substrate; and a first metal silicide layer over the gate dielectric, and a second metal silicide layer wherein the first metal silicide has a different phase then the second metal silicide layer. The metal silicide layers comprises at least one alloy element. The concentration of the alloy element on the interface between the gate dielectric and the metal silicide layers influence the work function of the gate.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: March 10, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Jung Lin, Cheng-Tung Lin, Chih-Wei Chang, Shau-Lin Shue
  • Patent number: 7485556
    Abstract: A metal silicide layer is formed on silicon-containing features of a substrate in a chamber. A metal film is sputter deposited on the substrate and a portion of the sputter deposited metal film is silicided. In the process, sputtering gas is energized by applying an electrical bias potential across the metal sputtering target and the substrate support to sputter deposit metal from a target onto the substrate. At least a portion of the deposited sputtered metal is silicided by heating the substrate to a silicidation temperature exceeding about 200° C. to form a combined sputtered metal and metal silicide layer on the substrate. The remaining sputtered metal can be silicided by maintaining the substrate at the silicidation temperature to form the metal silicide layer.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: February 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Jeong Soo Byun, Jianxin Lei, Lisa Yang, Hien-Minh Huu Le
  • Patent number: 7485513
    Abstract: One aspect of the present subject matter relates to a one-device non-volatile memory cell. The memory cell includes a body region, a first diffusion region and a second diffusion region formed in the body region. A channel region is formed in the body region between the first diffusion region and the second diffusion region. The memory cell includes a gate insulator stack formed above the channel region, and a gate to connect to a word line. The gate insulator stack includes a floating plate to selectively hold a charge. The floating plate is connected to the second diffusion region. The memory cell includes a diode that connects the body region to the second diffusion region such that the floating plate is charged when the diode is reversed biased. Other aspects are provided herein.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: February 3, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7470605
    Abstract: Disclosed is a method for fabricating a MOS transistor. The present method includes the steps of: (a) forming a gate electrode including a gate insulating layer and a polysilicon gate conductive layer on an active region in a semiconductor substrate; (b) forming a metal layer over the substrate including the gate electrode; (c) heat-treating the substrate to form a polycide layer on a top surface and sidewalls of the gate electrode; (d) removing an unreacted portion of the metal layer; (e) removing the polycide layer from the top surface and sidewalls of the gate electrode, thus reducing a width of the gate electrode; and (f) forming source and drain regions in the active region adjacent to the gate electrode.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: December 30, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jong Min Kim
  • Publication number: 20080296721
    Abstract: An integrated circuit, including a junction barrier Schottky diode, has an N type well, a P-type anode region in the surface of the well, and an N-type Schottky region in the surface of the well and horizontally abutting the anode region. A first silicide layer is on and makes a Schottky contact to the Schottky region and is on an adjoining anode region. A second silicide layer of a different material than the first silicide is on the anode region. An ohmic contact is made to the second silicide on the anode region and to the well.
    Type: Application
    Filed: September 4, 2007
    Publication date: December 4, 2008
    Applicant: INTERSIL AMERICAS INC.
    Inventors: Dev Alok Girdhar, Michael David Church, Alexander Kalnitsky
  • Patent number: 7459382
    Abstract: A semiconductor structure is fabricated with reduced gate capacitance by thinning of a gate electrode to provide a reduced thickness gate electrode. The gate electrode is thinned after forming a spacer layer adjoining the gate electrode. In addition, the height of the spacer layer may also be reduced. The spacer layer thus has an enhanced horizontal width desired for locating an intrinsic source/drain with respect to an extension region and in particular, an enhanced horizontal width relative to the spacer height. The reduced thickness gate electrode may be fully silicided to provide decreased gate resistance. A raised source/drain layer may be located upon the intrinsic source/drain region. The raised source/drain layer may have a top surface higher than the reduced thickness gate electrode. In addition, the raised source/drain layer may have a top surface higher than the reduced height spacer layer.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: December 2, 2008
    Assignee: International Business Machines Corporation
    Inventors: Ricky S. Amos, Wesley C. Natzle, Siddhartha Panda, Brian L. Tessier
  • Patent number: 7449353
    Abstract: Semi-insulating Group III nitride layers and methods of fabricating semi-insulating Group III nitride layers include doping a Group III nitride layer with a shallow level p-type dopant and doping the Group III nitride layer with a deep level dopant, such as a deep level transition metal dopant. Such layers and/or method may also include doping a Group III nitride layer with a shallow level dopant having a concentration of less than about 1×1017 cm?3 and doping the Group III nitride layer with a deep level transition metal dopant. The concentration of the deep level transition metal dopant is greater than a concentration of the shallow level p-type dopant.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: November 11, 2008
    Assignee: Cree, Inc.
    Inventor: Adam William Saxler
  • Patent number: 7446025
    Abstract: A vertical FET structure with nanowire forming the FET channels is disclosed. The nanowires are formed over a conductive silicide layer. The nanowires are gated by a surrounding gate. Top and bottom insulator plugs function as gate spacers and reduce the gate-source and gate-drain capacitance.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Guy M. Cohen, Paul M. Solomon
  • Patent number: 7442606
    Abstract: A method of manufacturing a semiconductor device includes providing a semiconductor substrate in which a floating gate pattern is formed. A dielectric layer, a conductive layer for a control gate, a tungsten silicide layer, a first silicon oxynitride layer, a hard mask layer, a second silicon oxynitride layer and an Organic Bottom Anti-Reflective Coating (BARC) layer are formed over the semiconductor substrate including the floating gate pattern. The BARC layer, the second silicon oxynitride layer, the hard mask layer and the first silicon oxynitride layer are removed. The tungsten silicide layer and the conductive layer for the control gate are removed. The dielectric layer is removed to form spacers on sides of the floating gate. The floating gate is then removed.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: October 28, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: In No Lee
  • Patent number: 7432181
    Abstract: A method of forming self-aligned silicides is described and applied to a substrate having an isolation area, which divides the substrate into a first area and a second area. A resist protective oxide layer is formed on the substrate, and subsequently a mask layer is formed on the resist protective oxide layer. Further, the mask layer includes an opening on the first area and another opening on a contact hole of the second area. When a resist protective oxide process is performed, the mask layer protects the resist protective oxide layer underlying the same from being removed, whereas the resist protective oxide layer under the openings are removed. Therefore, silicides are controlled to form on the first area and the contact hole of the second area in a subsequent self-aligned silicidation process.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: October 7, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yei-Hsiung Lin, Steven Huang
  • Patent number: 7432180
    Abstract: A method of fabricating a semiconductor device comprises the step of forming a nickel monosilicide layer selectively over a silicon region defined by an insulation film by a self-aligned process. The self-aligned process comprises the steps of forming a metallic nickel film on a silicon substrate on which the insulation film and the silicon region are formed, such that the metallic nickel film covers the insulation film and the silicon region, forming a first nickel silicide layer primarily of a Ni2Si phase on a surface of the silicon region of the metallic nickel film by applying an annealing process to the silicon substrate, removing the metallic nickel film, after the step of forming the first nickel silicide layer, by a selective wet etching process, and converting the first nickel silicide layer to a second nickel silicide layer primarily of a NiSi phase by a thermal annealing process conducted in a silane gas.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: October 7, 2008
    Assignee: Fujitsu Limited
    Inventors: Yasunori Uchino, Kazuo Kawamura, Naoyoshi Tamura
  • Patent number: 7429525
    Abstract: A method of fabricating a semiconductor device includes the steps of forming a metallic nickel film on a silicon substrate such that the metallic nickel film covers an insulation film on the silicon substrate and a silicon surface of the silicon substrate, annealing the silicon substrate in a silane gas ambient at a temperature not exceeding 220° C. to form a first nickel silicide layer having a composition primarily of Ni2Si on the silicon surface and a surface of the metallic nickel film, removing the metallic nickel film after the step of forming the nickel silicide layer by a wet etching process, and converting the first nickel silicide layer to a second nickel silicide layer primarily of nickel monosilicide (NiSi) by applying a thermal annealing process.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: September 30, 2008
    Assignee: Fujitsu Limited
    Inventors: Yasunori Uchino, Kazuo Kawamura, Naoyoshi Tamura
  • Patent number: 7396764
    Abstract: The technology which can improve the performance of a MOS transistor in which all the regions of the gate electrode were silicided is offered. A gate insulating film and a gate electrode of an nMOS transistor are laminated and formed in this order on a semiconductor substrate. A source/drain region of the nMOS transistor is formed in the upper surface of the semiconductor substrate. The source/drain region is silicided after siliciding all the regions of the gate electrode. Thus, silicide does not cohere in the source/drain region by the heat treatment at the silicidation of the gate electrode by siliciding the source/drain region after the silicidation of the gate electrode. Therefore, the electric resistance of the source/drain region is reduced and junction leak can be reduced. As a result, the performance of the nMOS transistor improves.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 8, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Shigeki Komori
  • Patent number: 7390729
    Abstract: A method of fabricating semiconductor device is provided. A transistor is formed on a substrate, and a metal silicide layer is formed on the surface of a gate conductor layer and a source/drain region. Next, a surface treatment process is performed to selectively form a protection layer on the surface of the metal silicide layer. Then, a spacer of the transistor is partially removed using the protection layer as a mask, so as to reduce the width of the spacer. Then, a stress layer is formed on the substrate.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: June 24, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Chao-Ching Hsieh, Chun-Chieh Chang, Tzung-Yu Hung
  • Publication number: 20080132049
    Abstract: Provided is a method for fabricating a Schottky barrier tunnel transistor (SBTT) that can fundamentally prevent the generation of a gate leakage current caused by damage of spacers formed on both sidewalls of a gate electrode. The method for fabricating a Schottky barrier tunnel transistor, which includes: a) forming a silicon pattern and a sacrificial pattern on a buried oxide layer supported by a support substrate; b) forming a source/drain region on the buried oxide layer exposed on both sides of the silicon pattern, the source/drain region being formed of a metal layer and being in contact with both sidewalls of the silicon pattern; c) removing the sacrificial pattern to expose the top surface of the silicon pattern; and d) forming a gate insulating layer and a gate electrode on the exposed silicon pattern.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 5, 2008
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Yark-Yeon KIM, Seong-Jae Lee, Moon-Gyu Jang, Tae-Youb Kim, Chel-Jong Choi, Myung-Sim Jun, Byoung-Chul Park
  • Patent number: 7375013
    Abstract: Formation of an WNX film 24 constituting a barrier layer of a gate electrode 7A having a polymetal structure is effected in an atmosphere containing a high concentration nitrogen gas, whereby release of N (nitrogen) from the WNX film 24 is suppressed in the heat treatment step after the formation of the gate electrode 7A.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: May 20, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Naoki Yamamoto, Yoshikazu Tanabe, Hiroshige Kogayu, Takehiko Yoshida
  • Patent number: 7371668
    Abstract: A method for making a MOS device includes: forming an insulator layer on a semiconductor substrate, the insulator layer including a titanium dioxide film that has a surface with hydroxyl groups formed thereon; and forming an aluminum cap film on the surface of the titanium dioxide film, and conducting annealing operation of the aluminum cap film at an annealing temperature sufficient to permit formation of active hydrogen atoms through reaction of the aluminum cap film and the hydroxyl groups, thereby enabling hydrogen passivation of oxide traps in the titanium dioxide film through diffusion of the active hydrogen atoms into the titanium dioxide film.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: May 13, 2008
    Assignee: National Sun Yat-Sen University
    Inventors: Ming-Kwei Lee, Jung-Jie Huang, Yu-Hsiang Hung
  • Publication number: 20080085590
    Abstract: Generally disclosed is a method of a device comprising forming a polysilicon stack including a first and a second polysilicon layer with an intervening etch stop layer, wherein the first polysilicon layer height is at least one third a height of the polysilicon stack height, removing the second polysilicon layer and the etch stop layer, and reacting the first polysilicon layer with a metal to fully silicide the first polysilicon layer. Fully silicided (FUSI) gates can hence be formed with uniform gate height. The thin first polysilicon layer allows for siliciding with a lower thermal budge and with better uniformity of the silicide concentration throughout the layer.
    Type: Application
    Filed: October 5, 2006
    Publication date: April 10, 2008
    Inventors: Liang-Gi Yao, Hun-Jan Tao, Shih-Chang Chen, Mong-Song Liang
  • Patent number: 7348265
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and an integrated circuit including the semiconductor device. The semiconductor device (100), among other possible elements, includes a gate oxide (140) located over a substrate (110), and a silicided gate electrode (150) located over the gate oxide (140), wherein the silicided gate electrode (150) includes a first metal and a second metal.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: March 25, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Jiong-Ping Lu
  • Patent number: 7344985
    Abstract: The invention provides a semiconductor device, a method of manufacture therefore and a method for manufacturing an integrated circuit including the same. The semiconductor device, among other elements, may include a gate structure located over a substrate, the gate structure including a gate dielectric layer and gate electrode layer. The semiconductor device may further include source/drain regions located in/over the substrate and adjacent the gate structure, and a nickel alloy silicide located in the source/drain regions, the nickel alloy silicide having an amount of indium located therein.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: March 18, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Peijun J. Chen, Duofeng Yue, Amitabh Jain, Sue Crank, Thomas D. Bonifield, Homi Mogul
  • Patent number: 7338888
    Abstract: The present invention provides a method for manufacturing a semiconductor device and a method for manufacturing an integrated circuit including the semiconductor device. The method for manufacturing the semiconductor device (100), among other possible steps, includes forming a polysilicon gate electrode over a substrate (110) and forming source/drain regions (170) in the substrate (110) proximate the polysilicon gate electrode. The method further includes forming a blocking layer (180) over the source/drain regions (170), the blocking layer (180) comprising a metal silicide, and siliciding the polysilicon gate electrode to form a silicided gate electrode (150).
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: March 4, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Haowen Bu, Shaofeng Yu, Ping Jiang
  • Patent number: 7323402
    Abstract: A fabrication process for a trench Schottky diode with differential oxide thickness within the trenches includes forming a first nitride layer on a substrate surface and subsequently forming a plurality of trenches in the substrate including, possibly, a termination trench. Following a sacrificial oxide layer formation and removal, sidewall and bottom surfaces of the trenches are oxidized. A second nitride layer is then applied to the substrate and etched such that the second nitride layer covers the oxide layer on the trench sidewalls but exposes the oxide layer on the trench bottom surfaces. The trench bottom surfaces are then re-oxidized and the remaining second nitride layer then removed from the sidewalls, resulting in an oxide layer of varying thickness being formed on the sidewall and bottom surfaces of each trench. The trenches are then filled with a P type polysilicon, the first nitride layer removed, and a Schottky barrier metal applied to the substrate surface.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: January 29, 2008
    Assignee: International Rectifier Corporation
    Inventor: Davide Chiola
  • Patent number: 7306983
    Abstract: The present invention provides a semiconductor device having dual nitride liners, a silicide layer, and a protective layer beneath one of the nitride liners for preventing the etching of the silicide layer. A first aspect of the invention provides a method for use in the manufacture of a semiconductor device comprising the steps of applying a protective layer to a device, applying a first silicon nitride liner to the device, removing a portion of the first silicon nitride liner, removing a portion of the protective layer, and applying a second silicon nitride liner to the device.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: December 11, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Ying Li, Rajeev Malik, Shreesh Narasimha
  • Patent number: 7285491
    Abstract: A salicide process is provided. A metal layer selected from a group consisting of nickel and an alloy thereof is formed on a silicon layer, the first step of the second thermal process is performed at 300˜400 degrees centigrade for 10˜60 seconds and the second step of the second thermal process is performed at 450˜550 degrees centigrade for 10˜60 seconds.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: October 23, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Min-Hsian Chen, Ching-Hsing Hsieh
  • Patent number: 7259051
    Abstract: The invention provides a method of forming a silicon tip by a single etching process, as well as a method of forming a tip floating gate to increase erase speed. Etching gases comprising (1) chlorine and/or (2) oxygen/helium are performed to form a silicon tip without bottom dimple. The invention may further control the tip angle by adjusting the etching parameters of gas compositions and ratios, chamber pressures, and radio frequency powers.
    Type: Grant
    Filed: February 7, 2005
    Date of Patent: August 21, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Chih-Ming Chen, Rong-Yuan Hsieh, Ching-Chi Liu
  • Publication number: 20070190763
    Abstract: A method of manufacturing a semiconductor device includes: (A) forming a gate electrode of a transistor on a substrate, a top layer of the gate electrode being a first metal film; (B) blanket depositing an interlayer insulating film; and (C) forming a first contact hole contacting the gate electrode and a second contact hole contacting a surface of the substrate. The method further includes: (D) siliciding an exposed surface of the first metal film to form a first silicide at a bottom of the first contact hole; (E) after the (D) process, blanket depositing a second metal film; and (F) after the (E) process, forming a second silicide at a bottom of the second contact hole through a silicide reaction between the second metal film and the surface of the substrate.
    Type: Application
    Filed: January 25, 2007
    Publication date: August 16, 2007
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Shun Fujimoto
  • Patent number: 7211516
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefore and a method for manufacturing an integrated circuit including the same. The semiconductor device, among other elements, may include a substrate (110), as well as a nickel silicide region (170) located over the substrate (110), the nickel silicide region (170) having an amount of indium located therein.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: May 1, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Peijun J. Chen, Duofeng Yue, Amitabh Jain, Sue Crank, Thomas D. Bonifield, Homi Mogul
  • Patent number: 7208398
    Abstract: The present invention provides a method of manufacturing a metal silicide electrode (100) for a semiconductor device (110). The method comprises depositing by physical vapor deposition, halogen atoms (120) and transition metal atoms (130) to form a halogen-containing metal layer (140) on a semiconductor substrate (150). The halogen-containing metal layer and the semiconductor substrate are reacted to form a metal silicide electrode. Other aspects of the present invention include a method of manufacturing an integrated circuit (400) comprising the metal silicide electrode.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: April 24, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Peijun J. Chen, Duofeng Yue, Douglas E. Mercer, Noel Russell
  • Patent number: 7202147
    Abstract: A semiconductor device includes: a gate electrode formed on a silicon substrate; source/drain regions formed at both sides of the gate electrode in the silicon substrate; and a silicide layer formed on the source/drain regions. The silicide layer includes a first silicide layer mainly made of a metal silicide having a formation enthalpy lower than that of NiSi and a second silicide layer formed on the first silicide and made of Ni silicide.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: April 10, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yasutoshi Okuno, Michikazu Matsumoto
  • Patent number: 7157358
    Abstract: The present invention provides a method for manufacturing a semiconductor device and a method for manufacturing an integrated circuit including the semiconductor device. The method for manufacturing the semiconductor device, among other possible steps, forming a polysilicon gate electrode (250) over a substrate (210) and forming a protective layer (260) over the polysilicon gate electrode (250) to provide a capped polysilicon gate electrode (230). The method further includes forming a protective oxide (510) on a surface proximate the polysilicon gate electrode (250), and removing the protective oxide (510) using a wet etch, the wet etch not having a substantial impact on the protective layer (260).
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: January 2, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Lindsey Hall, Haowen Bu, Shaofeng Yu