Separating Insulating Layer Is Laminate Or Composite Of Plural Insulating Materials Patents (Class 438/624)
  • Patent number: 7652354
    Abstract: Disclosed is a semiconductor device and a method of manufacturing a semiconductor device. A semiconductor device may include an insulating layer and a metal interconnection. An insulating layer may include a first layer including fluorine and a second layer including SRO (silicon rich oxide) having a dangling bond. A metal interconnection may be formed over the insulating layer.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: January 26, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Young Lee
  • Patent number: 7651940
    Abstract: A conductor portion is formed on the surface of a support member. After the conductor portion is formed, a copper foil on which resin is attached is moved downward from above the conductor portion to pressurize the conductor portion while covering it. the copper foil with the resin is pressed to the height of the conductor potion while using the conductor portion as a stopper. Thus, it is possible to make the height of the insulating layer equal to the height of the conductor portion.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: January 26, 2010
    Assignee: TDK Corporation
    Inventors: Masashi Gotoh, Kaoru Kawasaki, Hiroshi Yamamoto, Mutsuko Nakano
  • Patent number: 7648922
    Abstract: The major objective is to provide a fluorocarbon film wherein fine voids are formed by a step (SA1) for introducing a mixed gas containing a first carbon fluoride gas and a second carbon fluoride gas on a substrate placed inside a chamber, and depositing a fluorocarbon film on the substrate; and a step (SA2) for forming voids in the fluorocarbon film by selectively removing volatile components contained in the fluorocarbon film are included and especially in the step (SA2) for forming voids, it is preferable to include a step for cleaning the fluorocarbon film with a supercritical fluid.
    Type: Grant
    Filed: November 9, 2004
    Date of Patent: January 19, 2010
    Assignees: Kyoto University, Zeon Corporation
    Inventors: Tatsuru Shirafuji, Kunihide Tachibana
  • Patent number: 7648905
    Abstract: The present invention provides a flash memory device and a method of forming the same. The method includes: forming an isolation layer and a plurality of gate lines on a semiconductor substrate; forming a source/drain region by ion-implanting impurities into the semiconductor substrate using the gate lines as a mask; forming a side oxide layer on sidewalls and surfaces of the gate lines; forming a side nitride layer on the side oxide layer; forming an insulation layer on the semiconductor substrate and the side nitride layer; forming a photosensitive layer pattern on the insulation layer; exposing the source region between the gate lines by etching the insulation layer using the photosensitive layer pattern as a mask; forming a polysilicon layer on the exposed source region and the insulation layer; and forming a source line by etching the polysilicon layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: January 19, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung-Jin Kim
  • Patent number: 7648871
    Abstract: The present invention relates to an field effect transistor (FET) comprising an inverted source/drain metallic contact that has a lower portion located in a first, lower dielectric layer and an upper portion located in a second, upper dielectric layer. The lower portion of the inverted source/drain metallic contact has a larger cross-sectional area than the upper portion. Preferably, the lower portion of the inverted source/drain metallic contact has a cross-sectional area ranging from about 0.03 ?m2 to about 3.15 ?m2, and such an inverted source/drain metallic contact is spaced apart from a gate electrode of the FET by a distance ranging from about 0.001 ?m to about 5 ?m.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Dureseti Chidambarrao, Lawrence A. Clevenger, Kaushik A. Kumar, Carl Radens
  • Patent number: 7642202
    Abstract: A nitrogen-free anti-reflective layer for use in semiconductor photolithography is fabricated in a chemical vapor deposition process, optionally plasma-enhanced, using a gaseous mixture of carbon, silicon, and oxygen sources. By varying the process parameters, a substantially hermetic layer with acceptable values of the refractive index n and extinction coefficient k can be obtained. The nitrogen-free moisture barrier anti-reflective layer produced by this technique improves plasma etch of features such as vias in subsequent processing steps.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: January 5, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Ming Li, Bart Van Schravendijk, Tom Mountsier, Chiu Chi, Kevin Ilcisin, Julian Hsieh
  • Patent number: 7642185
    Abstract: A first film made of silicon carbide is formed over a substrate. The surface of the first film is exposed to an oxidizing atmosphere to oxidize the surface layer of the first film. The surface of the first film is made in contact with chemical which makes the surface hydrophilic. On the hydrophilic surface of the first film, a second film is formed which is an insulating film made of a low dielectric constant insulating material having a relative dielectric constant of 2.7 or smaller or an insulating film made by a coating method. A sufficient adhesion property is obtained when a film made of low dielectric constant insulating material is formed on an insulating film made of silicon carbide having a small amount of oxygen contents.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: January 5, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Tamotsu Owada, Hirofumi Watatani, Ken Sugimoto, Shun-ichi Fukuyama
  • Publication number: 20090315186
    Abstract: An etching stopper film is formed on top of a first insulating film. The etching stopper film is a film formed by depositing at least two films, made of constituent materials identical in quality to each other, one another. Subsequently, a first opening pattern is formed in the etching stopper film. Subsequently, a second insulating film is formed on top of the etching stopper film. Subsequently, a mask pattern is formed on top of the second insulating film. Subsequently, the second insulating film is etched with the use of the mask pattern as a mask to be followed by etching of the first insulating film with the use of the etching stopper film as a mask.
    Type: Application
    Filed: June 2, 2009
    Publication date: December 24, 2009
    Applicant: NEC Electronics Corporation
    Inventor: Ken Ozawa
  • Patent number: 7622380
    Abstract: A method of improving adhesion between layers in the formation of a semiconductor device and integrated circuit, and the resultant intermediate semiconductor structure, which include a substrate layer with a low k insulating layer thereover. The low k insulating layer includes a treated surface area of adsorbed gaseous particles. This treated surface area is formed by flowing a gas, preferably, silane, disilane, dichlorosilane, germane or combinations thereof, over a surface of the heated low k insulating layer for adsorption of such gaseous particles onto the heated surface, wherein the insulating layer maintains its original thickness. A capping layer is then deposited directly over the insulating layer wherein the treated surface area of the insulating layer significantly improves adhesion between the insulating layers and the capping layers to prevent delamination therebetween during subsequent processing steps of forming the integrated circuit.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: November 24, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Mahesh Sanganeria, Bart van Schravendijk
  • Publication number: 20090278261
    Abstract: An interlayer insulating film is formed on the upper surface of a semiconductor substrate, and lower-level interconnects are formed in the interlayer insulating film. A liner insulating film is formed on the upper surfaces of the interlayer insulating film and lower-level interconnects. An interlayer insulating film is formed on the upper surface of the liner insulating film. Upper-level interconnects are formed in the interlayer insulating film. The lower-level interconnects and the upper-level interconnects are connected with each other through vias. Parts of the liner insulating film formed in via-adjacent regions have a greater thickness than a part thereof formed outside the via-adjacent regions.
    Type: Application
    Filed: May 8, 2009
    Publication date: November 12, 2009
    Inventors: Takeshi HARADA, Junichi SHIBATA, Akira UEKI
  • Publication number: 20090278254
    Abstract: An integrated circuit device is provided having a substrate and areas of electrically insulating and electrically conductive material, where the electrically insulating material is a hybrid organic-inorganic material that requires no or minimal CMP and which can withstand subsequent processing steps at temperatures of 450° C. or more.
    Type: Application
    Filed: December 1, 2008
    Publication date: November 12, 2009
    Inventors: Juha T. Rantala, Nigel Hacker, Jason Reid, William McLaughlin, Teemu T. Tormanen
  • Patent number: 7615484
    Abstract: An integrated circuit hard mask processing system is provided including providing a substrate having an integrated circuit; forming an interconnect layer over the integrated circuit; applying a low-K dielectric layer over the interconnect layer; applying a hard mask layer over the low-K dielectric layer; forming a via opening through the hard mask layer and the low-K dielectric layer to the interconnect layer; applying a first fluid and a second fluid in the via opening for removing an overhang of the hard mask layer; depositing an interconnect metal in the via opening; and chemical-mechanical polishing the interconnect metal and the ultra low-K dielectric layer.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: November 10, 2009
    Assignees: Chartered Semiconductor Manufacturing Ltd., Infineon Technologies North America Corp., International Business Machines Corporation
    Inventors: Wuping Liu, Michael Beck, John A. Fitzsimmons
  • Publication number: 20090275194
    Abstract: A method of producing a semiconductor device having a plurality of wiring layers forms a first interlayer-insulating film, forms a plurality of grooves for wiring in the first interlayer-insulating film, fills metallic films in the grooves to form wirings, etches the first interlayer-insulating film with the wirings as a mask and removes the interlayer-insulating film between the wirings to provide grooves to be filled, and fills a second interlayer-insulating film made of a material of low dielectric constant in the grooves to be filled.
    Type: Application
    Filed: July 13, 2009
    Publication date: November 5, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yoshiaki Shimooka, Hideki Shibata, Hideshi Miyajima, Kazuhiro Tomioka
  • Patent number: 7611983
    Abstract: A first BPSG film covering a transistor is formed. Next, a second BPSG film is formed on the first BPSG film. The B concentration in the first BPSG film is about five times higher than the B concentration in the second BPSG film. Next, the first BPSG film is separated into a part of a source diffusion layer side and a part of a drain diffusion layer side, with a gate electrode being a boundary. Subsequently, a contact hole reaching the source diffusion layer is formed in the first and second BPSG films. Then, by removing the first BPSG film exposed to the contact hole by isotropic etching, a hollow portion is formed between the source diffusion layer and the second BPSG film. Then, a barrier metal film made of TiN or the like is formed in the hollow portion.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: November 3, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Akihito Nishimura
  • Publication number: 20090243101
    Abstract: A method for forming interconnection levels of an integrated circuit, including the steps of: (a) forming an interconnection level comprising conductive tracks and vias separated by a porous dielectric material; (b) forming, on the interconnection level, a layer of a non-porous insulating material, said layer comprising openings above portions of porous dielectric material; (c) repeating steps (a) and (b) to obtain the adequate number of interconnection levels; and (d) annealing the structure.
    Type: Application
    Filed: March 26, 2009
    Publication date: October 1, 2009
    Applicant: STMicroelectronics Crolles 2 SAS
    Inventor: Patrick Vannier
  • Patent number: 7592660
    Abstract: There is provided a semiconductor device which includes a base insulating film formed on a semiconductor substrate, a capacitor formed on the base insulating film, an interlayer insulating film covering the capacitor, a first layer metal wiring formed on the interlayer insulating film, a single-layer first insulating film which covers the interlayer insulating film and the first layer metal wiring and has a first film thickness above the first layer metal wiring, a first capacitor protective insulating film formed on the first insulating film, a first cover insulating film which is formed on the first capacitor protective insulating film and has a second film thickness thicker than the first film thickness, above the first layer metal wiring, a third hole formed in the insulating films on the first layer metal wiring, and a fifth conductive plug formed in the third hole.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: September 22, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kouichi Nagai, Wensheng Wang
  • Patent number: 7592250
    Abstract: A multilayer wiring board exhibiting excellent moldability and having a capacitor where variation of capacitance is suppressed, its producing method, a semiconductor device mounting a semiconductor chip on the multilayer wiring board, and a wireless electronic device mounting the semiconductor device.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: September 22, 2009
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Yasushi Shimada, Yoshitaka Hirata, Hiroyuki Kuriya, Kazuhisa Otsuka, Masanori Yamaguchi, Yuichi Shimayama, Ken Madarame, Etsuo Mizushima, Yuusuke Kondou, Kazunori Yamamoto
  • Patent number: 7589014
    Abstract: A method of producing a semiconductor device having a plurality of wiring layers forms a first interlayer-insulating film, forms a plurality of grooves for wiring in the first interlayer-insulating film, fills metallic films in the grooves to form wirings, etches the first interlayer-insulating film with the wirings as a mask and removes the interlayer-insulating film between the wirings to provide grooves to be filled, and fills a second interlayer-insulating film made of a material of low dielectric constant in the grooves to be filled.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: September 15, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiaki Shimooka, Hideki Shibata, Hideshi Miyajima, Kazuhiro Tomioka
  • Patent number: 7579258
    Abstract: A semiconductor device and method has interconnects with adjoining reservoir openings. A dielectric layer is formed as part of an uppermost of the one or more interconnect layers. Openings formed in the dielectric layer result in modified portions of the dielectric layer along portions of sidewalls of the openings. The openings are filled with a conductive material, such as metal. An exposed portion of the dielectric layer is removed to form protruding pads of the conductive material extending above the dielectric layer. Reservoir openings are formed adjacent the protruding pads by removing the modified portions of the dielectric layer. When the semiconductor device is bonded with another device, either a wafer or a die, laterally flowing metal collects in the reservoir openings and ensures that a reliable electrical connection is made between the semiconductor device and the other device.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: August 25, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Ritwik Chatterjee
  • Patent number: 7579590
    Abstract: A method for measuring the thickness of a layer is provided, comprising (a) providing a structure (101) comprising a first layer disposed on a second layer; (b) impinging (103) the structure with a first ion beam comprising a first isotope, thereby sputtering off a portion of the first layer which contains a second isotope and exposing a portion of the second layer; and (c) determining (105) the thickness of the first layer by measuring the amount of the second isotope which is sputtered off.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: August 25, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Zhi-Xiong (Jack) Jiang, David D. Sieloff
  • Patent number: 7580088
    Abstract: A device and corresponding method of fabrication thereof are disclosed, where the device provides a contact for semiconductor and display devices, the device including a substrate, a first wiring line assembly formed on the substrate, an under-layer formed on the first wiring line assembly, an organic insulating layer formed on the under-layer such that the organic insulating layer covers the under-layer, a pattern on the organic insulating layer for contact holes to expose the under-layer, etched contact holes formed in the under-layer in correspondence with the pattern such that the underlying first wiring line assembly is exposed to the outside, a cured organic insulating layer formed on the under-layer, and a second wiring line assembly formed on the organic insulating layer such that the second wiring line assembly is connected to the first wiring line assembly through the etched contact holes, and the corresponding method of fabrication including forming a first wiring line assembly on a substrate, form
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: August 25, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jang-Soo Kim, Hyang-Shik Kong, Min-Wook Park, Sang-Jin Jeon
  • Patent number: 7579270
    Abstract: It is an object of the present invention to provide a method for manufacturing a highly reliable semiconductor device with preferable yield. In the invention, two-step etching is performed when selectively removing an interlayer insulating film with at least two layers constituting a semiconductor device, and forming an opening. One feature of the invention is that at least either one of a first gas (a first etching gas) and a second gas (a second etching gas) used at the time of the two-step etching is added with an inert gas.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: August 25, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tomohiko Sato, Shigeharu Monoe, Shinya Sasagawa
  • Patent number: 7576006
    Abstract: Capping protective self aligned buffer (PSAB) layers are layers of material that are selectively formed at the surface of metal layers in a partially fabricated semiconductor device. Encapsulating PSAB layers are formed not only at the surface of the metal layers, but also within the unexposed portions of the metal lines. Encapsulating PSAB layer, for example, can surround the metal line with the PSAB material, thereby protecting interfaces between the metal line and diffusion barriers. Encapsulating PSAB layers can be formed by treating the exposed surfaces of metal lines with GeH4. Capping PSAB layers can be formed by treating the exposed surfaces of metal lines with SiH4. Interconnects having both a silicon-containing capping PSAB layer and a germanium-containing encapsulating PSAB layer provide good performance in terms of adhesion, resistance shift, and electromigration characteristics.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: August 18, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Yongsik Yu, Mandyam Sriram, Roey Shaviv, Kaushik Chattopadhyay, Hui-Jung Wu
  • Patent number: 7572710
    Abstract: The invention comprises methods of forming a conductive contact to a source/drain region of a field effect transistor, and methods of forming local interconnects. In one implementation, a method of forming a conductive contact to a source/drain region of a field effect transistor includes providing gate dielectric material intermediate a transistor gate and a channel region of a field effect transistor. At least some of the gate dielectric material extends to be received over at least one source/drain region of the field effect transistor. The gate dielectric material received over the one source/drain region is exposed to conditions effective to change it from being electrically insulative to being electrically conductive and in conductive contact with the one source/drain region. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: August 11, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu, H. Montgomery Manning
  • Patent number: 7572727
    Abstract: The present invention is a semiconductor contact formation system and method. Contact insulation regions are formed with multiple etch stop sublayers that facilitate formation of contacts. This contact formation process provides relatively small substrate connections while addressing critical lithographic printing limitation concerns in forming contact holes with small dimensions. In one embodiment, a multiple etch stop insulation layer comprising multiple etch stop layers is deposited. A contact region is formed in the multiple etch stop insulation layer by selectively removing (e.g., etching) some of the multiple etch stop insulation layer. In one embodiment, a larger portion of the multiple etch stop insulation layer is removed close to the metal layer and a smaller portion is removed closer to the substrate.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: August 11, 2009
    Assignee: Spansion LLC
    Inventors: Wenmei Li, Angela T. Hui, Dawn Hopper, Kouros Ghandehari
  • Patent number: 7572728
    Abstract: A semiconductor device and method with a dual damascene pattern uses buffer layers to prevent photoresist layer poisoning due to a reaction between an interlayer dielectric and a photoresist layer. Embodiments also relate to reducing the effects of plasma damage occurring during an etching or ashing process.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: August 11, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Woo Kim
  • Patent number: 7569467
    Abstract: A semiconductor device has a multi-layer wiring in which resistance against migration of the semiconductor device is raised to improve the yield. Semiconductor device 100 includes a first interconnect (wiring) 112, formed in a first interlayer insulating film 106 on a semiconductor substrate, not shown, a via 128 provided on the first interconnect (wiring) 112 so that the via is connected to the first interconnect (wiring) 112, and a different element containing electrically conductive film 114. The different element containing electrically conductive film is formed selectively on a site on the top of the first interconnect (wiring) 112 where the first wiring is contacted with the bottom of the via 128. The different element containing electrically conductive film contains a metal of a main component of the first interconnect (wiring) 112 and a different element different from the metal of the main component.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: August 4, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Hiroaki Katou
  • Patent number: 7569478
    Abstract: In a method for manufacturing a semiconductor device having a dual damascene structure, a semiconductor substrate formed by stacking a trench mask and a via hole resist mask on an insulating film is loaded into a processing chamber, and a via hole is formed by etching the insulating film through the via hole resist mask. Then, the via hole resist mask is removed by an ashing process and a protective film is formed on an underlayer of the insulating film; Thereafter, a trench is formed by etching the insulating film through the trench mask, and the semiconductor substrate is unloaded from the processing chamber after the via hole forming step, the resist mask removing step, the protective film forming step and the trench forming step are completed in the processing chamber.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: August 4, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Patent number: 7566971
    Abstract: The invention provides a technology for manufacturing a higher performance and higher reliability semiconductor device at low cost and with high yield. The semiconductor device of the invention has a first conductive layer over a first insulating layer; a second insulating layer over the first conductive layer, which includes an opening extending to the first conductive layer; and a signal wiring layer for electrically connecting an integrated circuit portion to an antenna and a second conductive layer adjacent to the signal wiring layer, which are formed over the second insulating layer. The second conductive layer is in contact with the first conductive layer through the opening, and the first conductive layer overlaps the signal wiring layer with the second insulating layer interposed therebetween.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 28, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Takanori Matsuzaki
  • Publication number: 20090186478
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a first insulation layer on a substrate; forming a damascene pattern in the first insulation layer; conducting a first process for forming metal lines in the damascene pattern; conducting a second process for forming a second insulation layer, having compressive stress greater than tensile stress of the metal lines, on the damascene pattern including the metal lines; forming a passivation layer on the substrate after multi-layered metal lines are formed by the first and second processes; and conducting an annealing process for the substrate including the passivation layer.
    Type: Application
    Filed: June 27, 2008
    Publication date: July 23, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Young Geun Jang
  • Patent number: 7563728
    Abstract: Methods are provided for processing a substrate for depositing an adhesion layer having a low dielectric constant between two low k dielectric layers. In one aspect, the invention provides a method for processing a substrate including depositing a barrier layer on the substrate, wherein the barrier layer comprises silicon and carbon and has a dielectric constant less than 4, depositing a dielectric initiation layer adjacent the barrier layer, and depositing a first dielectric layer adjacent the dielectric initiation layer, wherein the dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: July 21, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana Schmitt, Li-Qun Xia, Son Van Nguyen, Shankar Venkataraman
  • Patent number: 7563706
    Abstract: A material for forming an insulating film with low dielectric constant of this invention is a solution including a fine particle principally composed of a silicon atom and an oxygen atom and having a large number of pores, a resin and a solvent.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: July 21, 2009
    Assignee: Panasonic Corporation
    Inventors: Hideo Nakagawa, Masaru Sasago
  • Publication number: 20090179331
    Abstract: A system and method for providing low dielectric constant insulators in integrated circuits is provided. One aspect of this disclosure relates to a method for forming an integrated circuit insulator. The method includes forming an insulating layer using a first structural material upon a substrate, the first structural material having sufficient mechanical characteristics to support metal during chemical-mechanical polishing (CMP). The method also includes depositing a metallic layer upon the insulating layer, the metallic layer adapted to be used as a wiring channel. The method further includes processing the metallic layer to form the wiring channel, where processing includes CMP. In addition, the method includes removing and replacing at least a portion of the first structural material with a second structural material, the second structural material having insufficient mechanical characteristics to support metal during CMP. Other aspects and embodiments are provided herein.
    Type: Application
    Filed: March 18, 2009
    Publication date: July 16, 2009
    Inventor: Paul A. Farrar
  • Patent number: 7557030
    Abstract: A method for fabricating a recess gate in a semiconductor device is provided. The method includes selectively etching an active region of a substrate to form a recess pattern, performing a post treatment on the recess pattern using a plasma, and forming a gate pattern in the recess pattern.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: July 7, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung-Bum Kim, Ki-Won Nam
  • Patent number: 7553759
    Abstract: A semiconductor device may include the following. A diffusion barrier formed over a semiconductor substrate having a conductive layer. An etching stop layer formed over a diffusion barrier. Inter-metal dielectric (IMD) layers (e.g. having via holes formed over an etching stop layer and trenches wider than the via holes). Metal interconnections that fill via holes and trenches. Via holes in IMD layers may pass through a diffusion barrier and an etching stop layer to connect to a conductive layer in a semiconductor substrate.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: June 30, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyuk Park
  • Publication number: 20090160059
    Abstract: One aspect of the invention provides a method of forming a semiconductor device (100). One aspect includes forming transistors (120, 125) on a semiconductor substrate (105), forming a first interlevel dielectric layer (165) over the transistors (120, 125), and forming metal interconnects (170, 175) within the first interlevel dielectric layer (165). A carbon-containing gas is used to form a silicon carbon nitride (SiCN) layer (180) over the metal interconnects (170, 175) and the first interlevel dielectric layer (165) within a deposition tool. An adhesion layer (185) is formed on the SiCN layer (180), within the deposition tool, by discontinuing a flow of the carbon-containing gas within the deposition chamber. A second interlevel dielectric layer (190) is formed over the adhesion layer (185).
    Type: Application
    Filed: December 20, 2007
    Publication date: June 25, 2009
    Applicant: Texas Instruments Incorporated
    Inventors: Ju-Ai Ruan, Sameer K. Ajmera, Changming Jin, Anand J. Reddy, Tae S. Kim
  • Patent number: 7531448
    Abstract: A manufacturing method of a dual damascene structure is provided. First, a barrier layer, a first dielectric layer, a second dielectric layer, a cap layer, a metal-containing hard mask layer, a dielectric hard mask layer, a first bottom anti-reflection coating (BARC) layer and a first photoresist layer are sequentially formed over the substrate. Next, the patterned first photoresist layer is used as a mask during an etch process to form a first trench structure. A second BARC layer is formed to fill the first trench structure and to cover the surface of the dielectric hard mask layer. A second photoresist layer is formed over the second BARC layer. The patterned second photoresist layer is used as a mask during an etch process to form a first via structure. The first trench structure and the first via structure are etched to obtain a second trench structure and a second via structure.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: May 12, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Jung Wang
  • Patent number: 7528059
    Abstract: By forming a capping layer after a CMP process for planarizing the surface topography of an ILD layer, any surface irregularities may be efficiently sealed, thereby reducing the risk for forming conductive surface irregularities during the further processing. Consequently, yield loss effects caused by leakage paths or short circuits in the first metallization layer may be significantly reduced.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: May 5, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Sandra Bau, Johannes Groschopf
  • Publication number: 20090108454
    Abstract: A metal line in a semiconductor device and fabricating method thereof includes a first contact plug on a substrate, a first insulating interlayer over the substrate including the first contact plug, a first etch stop layer formed over the first insulating interlayer; a trench in the first insulating interlayer and the first etch stopper layer, a metal line in the trench, the metal line including a second contact plug projecting from the trench, wherein the metal line and the trench are formed as a single body, and a second insulating interlayer over the substrate including the metal line and the second contact plug.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 30, 2009
    Inventor: Hee-Bae Lee
  • Patent number: 7524750
    Abstract: A process is provided for depositing an silicon oxide film on a substrate disposed in a process chamber. A process gas that includes a halogen source, a fluent gas, a silicon source, and an oxidizing gas reactant is flowed into the process chamber. A plasma having an ion density of at least 1011 ions/cm3 is formed from the process gas. The silicon oxide film is deposited over the substrate with a halogen concentration less than 1.0%. The silicon oxide film is deposited with the plasma using a process that has simultaneous deposition and sputtering components. The flow rate of the halogen source to the process chamber to the flow rate of the silicon source to the process chamber is substantially between 0.5 and 3.0.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: April 28, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas D. Nemani, Young S. Lee, Ellie Y. Yieh, Anchuan Wang, Jason Thomas Bloking, Lung-Tien Han
  • Patent number: 7524752
    Abstract: In a method of manufacturing a semiconductor device which method is made up of a process of forming a wiring groove using a hard mask, a metal hard mask 107 is used to form a wiring groove 111, allowing the shape of the wiring groove 111 to be stabilized. Furthermore, a part or all of the metal hard mask 107 is removed before the formation of TaN and Cu layers in the wiring groove 111. This enables a reduction in possible damage, which may increase the dielectric constant of the surface of low-dielectric-constant film, and thus in possible inter-wire leakage current. As a result, a reliable semiconductor device can be provided.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: April 28, 2009
    Assignee: Panasonic Corporation
    Inventor: Makoto Tsutsue
  • Patent number: 7521353
    Abstract: In a first preferred embodiment of the present invention, conductive features are formed on a first dielectric etch stop layer, and a second dielectric material is deposited over and between the conductive features. A via etch to the conductive features which is selective between the first and second dielectrics will stop on the dielectric etch stop layer, limiting overetch. In a second embodiment, a plurality of conductive features is formed in a subtractive pattern and etch process, filled with a dielectric fill, and then a surface formed coexposing the conductive features and dielectric fill. A dielectric etch stop layer is deposited on the surface, then a third dielectric covers the dielectric etch stop layer. When a contact is etched through the third dielectric, this selective etch stops on the dielectric etch stop layer. A second etch makes contact to the conductive features.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: April 21, 2009
    Assignee: Sandisk 3D LLC
    Inventor: Christopher J Petti
  • Patent number: 7521355
    Abstract: A system and method for providing low dielectric constant insulators in integrated circuits is provided. One aspect of this disclosure relates to a method for forming an integrated circuit insulator. The method includes forming an insulating layer using a first structural material upon a substrate, the first structural material having sufficient mechanical characteristics to support metal during chemical-mechanical polishing (CMP). The method also includes depositing a metallic layer upon the insulating layer, the metallic layer adapted to be used as a wiring channel. The method further includes processing the metallic layer to form the wiring channel, where processing includes CMP. In addition, the method includes removing and replacing at least a portion of the first structural material with a second structural material, the second structural material having insufficient mechanical characteristics to support metal during CMP. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7514365
    Abstract: A method of fabricating an opening or plug. In the process of forming the opening, before a photoresist layer is formed over a dielectric layer, a treatment process is performed to form a film on the dielectric layer, wherein the film can suppress the outgasing phenomenon of the dielectric layer and prevent the later formed photoresist layer from reacting with the running-off composition component from the dielectric layer. Therefore, the problem of incomplete development due to outgasing of the dielectric layer can be solved. Additionally, in the procedure for forming a plug, before a block layer is forming on a surface of a via, a treatment process is performed to form a film on the surface of the via. Therefore, the problem of having defects inside the block layer caused by outgasing of the dielectric layer can be overcome.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: April 7, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Fang Cheng, Chopin Chou
  • Patent number: 7514779
    Abstract: Mesh holes 35a and 59a of upper solid layers 35 and upper solid layers 59 are formed to overlie on one another, so that the insulating properties of interlayer resin insulating layers 50 are not lowered. Here, the diameter of each mesh hole is preferably 75 to 300 ?m. The reason is as follows. If the diameter of the mesh hole is less than 75 ?m, it is difficult to overlay the upper and lower mesh holes on one another. If the diameter exceeds 300 ?m, the insulating properties of the interlayer resin insulating layers deteriorate. In addition, the distance between the mesh holes is preferably 100 to 2000 ?m. The reason is as follows. If the distance is less than 100 ?m, the solid layer cannot function. If the distance exceeds 2000 ?m, the deterioration of the insulating properties of the interlayer resin insulating film occurs.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: April 7, 2009
    Assignee: Ibiden Co., Ltd.
    Inventors: Naohiro Hirose, Honjin En
  • Publication number: 20090085087
    Abstract: A semiconductor wafer assembly includes a base of dielectric. A layer of silicon is deposited thereover. A metal hard mask is deposited over the silicon. A dielectric hard mask is deposited over the metal hard mask. Photoresist is deposited over the dielectric hard mask, whereby a plurality of sacrificial columns is formed from the layer of metal hard mask through the photoresist such that the sacrificial columns extend out from the silicon layer. An interface layer is disposed between the layer of conductive material and the layer of hard mask to enhance adhesion between each of the plurality of sacrificial columns and the layer of conductive material to optimize the formation of junction diodes out of the silicon by preventing the plurality of sacrificial columns from being detached from the layer of silicon prematurely due to the sacrificial columns peeling or falling off.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 2, 2009
    Applicant: SanDisk Corporation
    Inventors: Yoichiro Tanaka, Steven J. Radigan, Usha Raghuram
  • Patent number: 7510959
    Abstract: A method of manufacturing a semiconductor device having damascene structures with air gaps is provided. In one embodiment, the method comprises the steps of depositing and patterning a disposable layer, depositing a first barrier layer on top of the patterned disposable layer, depositing a metal layer, planarizing the metal layer, depositing a second barrier layer, planarizing the second barrier layer until substantially no barrier layer material is present on top of the disposable layer, depositing a permeable layer, removing the disposable layer through the permeable layer to form air gaps.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 31, 2009
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), Koninklikje Phillips Electronics
    Inventors: Roel Daamen, Viet Nguyen Hoang
  • Publication number: 20090079083
    Abstract: A fabricating method of an interconnect structure is provided. A first dielectric layer is formed on a substrate for covering an air gap region and a non-air gap region. Next, interconnects are formed in the first dielectric layer on the air gap region and in the first dielectric layer on the non-air gap region. Then, a cap layer is formed on the first dielectric layer. Thereafter, on the air gap region, a portion of the cap layer and a portion of the first dielectric layer are removed for forming first openings, and thereby a portion of the first dielectric layer are left between the interconnects for forming support pillars. After that, a second dielectric layer is formed over the substrate for covering the cap layer and the first openings, so as to form an air gap in each of the first openings.
    Type: Application
    Filed: September 26, 2007
    Publication date: March 26, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: Chin-Sheng Yang
  • Patent number: 7507656
    Abstract: An integrated circuit interconnect structure. The structure includes a substrate and a layer of transistor elements overlying the substrate. A first interlayer dielectric layer is formed overlying the layer of transistor elements. An etch stop layer is formed overlying the first interlayer dielectric layer. A contact structure including metallization is within the first interlayer dielectric layer and a metal layer is coupled to the contact structure. A passivation layer is formed overlying the metal layer. Preferably, an air gap layer is coupled between the passivation layer and the metal layer, the air gap layer allowing a portion of the metal layer to be free standing. Depending upon the embodiment, a portion of the air gap layer may be filled with silicon bearing nanoparticles, which may be oxidized at low temperatures. This oxidized layer provides mechanical support and low k dielectric characteristics. Preferably, a portion of the air gap layer is filled with a low k dielectric material as well.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: March 24, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Guoqing Chen
  • Patent number: RE40965
    Abstract: There is formed on a semiconductor substrate a lamination of a first insulating film of nondoped silicon glass or the like and, on this first insulating film, a second insulating film of boron phosphor silicate glass or the like, with a conductor layer between the two insulating films. A hole is first dry-etched in the second insulating film, leaving the substrate surface covered by the first insulating film. Then the second insulating film is heated to a reflow temperature such that the hole is thermally deformed, flaring as it extends away from the insulating film. Then a second hole is dry-etched in the first insulating film through the first recited hole in the second insulating film, with the consequent exposure of the semiconductor surface. Then a contract electrode is fabricated by filling the first and the second hole with an electroconductive material into direct contact with the substrate surface.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: November 10, 2009
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Shuichi Kaneko, Hironori Aoki, Akio Iwabuchi