Utilizing Reflow Patents (Class 438/632)
  • Patent number: 10361153
    Abstract: Methods of forming vias include nitridizing exposed surfaces of a first layer and an exposed surface of a conductor underlying the first layer to form a layer of nitridation at said exposed surfaces. Material from the layer of nitridation at the exposed surface of the underlying conductor is etched away. The exposed surface of the underlying conductor is etched away to form a recessed area in the underlying conductor after etching away material from the layer of nitridation. A conductive via that forms a conductive contact with the underlying conductor is formed.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: July 23, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Roger A. Quon, Terry A. Spooner, Wei Wang, Chih-Chao Yang
  • Patent number: 9539390
    Abstract: A medical instrument includes a multilayer wiring board having first, second and third wirings, a fourth wiring formed in a first wiring layer, and a fifth wiring formed in a second wiring layer. A first via conductor electrically connects the third and fifth wirings. A second via conductor electrically connects the fourth and fifth wirings. The medical instrument further includes first and second transistors, a second transistor, and a capacitor mounted on the first wiring layer side of the multilayer wiring board. The drain and source electrodes of the first transistor are electrically connected to the first and second wirings, respectively. The drain electrode of the second transistor is electrically connected to the second wiring. The source electrode of the second transistor is electrically connected to the third wiring. The first and second electrodes of the capacitor are electrically connected to the first and fourth wirings, respectively.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: January 10, 2017
    Assignee: SEIKO EPSON CORPORATION
    Inventors: Atsushi Oshima, Noritaka Ide
  • Patent number: 9455362
    Abstract: Methods for laser irradiation aluminum doping for monocrystalline silicon substrates are provided. According to one aspect of the disclosed subject matter, aluminum metal contacts are formed directly on a surface of a monocrystalline silicon substrate. The aluminum metal contact is selectively heated via laser irradiation, thereby causing the aluminum and a portion of the monocrystalline silicon substrate in proximity to the aluminum to reach a temperature sufficient to allow at least a portion of the silicon to dissolve in the aluminum. The aluminum and the portion of the monocrystalline silicon substrate in proximity to the aluminum is allowed to cool, thereby forming an aluminum-rich doped silicon layer on the monocrystalline silicon substrate.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: September 27, 2016
    Assignee: Solexel, Inc.
    Inventors: Mehrdad M. Moslehi, Virendra V. Rana, Pranav Anbalagan
  • Patent number: 9412766
    Abstract: An insulating film provided between adjacent pixels is referred to as a bank, a partition, a barrier, an embankment or the like, and is provided above a source wiring or a drain wiring for a thin film transistor, or a power supply line. In particular, at an intersection portion of these wirings provided in different layers, a larger step is formed there than in other portions. Even when the insulating film provided between adjacent pixels is formed by a coating method, thin portions are problematically partially formed due to this step and the withstand pressure is reduced. In the present invention, a dummy material is arranged near the large step portion, particularly, around the intersection portion of wirings, so as to alleviate unevenness formed thereover. The upper wiring and the lower wiring are arranged in a misaligned manner so as not to align the end portions.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: August 9, 2016
    Assignee: Semiconductor Energy Laboratory Co., LTD.
    Inventors: Masayuki Sakakura, Shunpei Yamazaki
  • Patent number: 9275889
    Abstract: A methodology for forming contact areas by a multiple patterning process that provides increased yield and lower risk of contact-to-contact short at points of tight tip-to-tip spacing and the resulting device are disclosed. Embodiments include forming one or more trench patterning layers on a planarized surface of a wafer, forming one or more trenches in the one or more trench patterning layers, forming a block mask at one or more points along the one or more trenches, extending the one or more trenches down to a substrate level of the wafer, and removing the block mask from the one or more points.
    Type: Grant
    Filed: October 3, 2013
    Date of Patent: March 1, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ryan Kim, Jason R. Cantone, Wenhui Wang
  • Patent number: 8927333
    Abstract: A package-on-package arrangement for maintaining die alignment during a reflow operation is provided. A first top die has a first arrangement of solder bumps. A bottom package has a first electrical arrangement to electrically connect to the first arrangement of solder bumps. A die carrier has a plurality of mounting regions defined on its bottom surface, wherein the first top die is adhered to the die carrier at a first of the plurality of mounting regions. One of a second top die and a dummy die having a second arrangement of solder bumps is also fixed to the die carrier at a second of the plurality of mounting regions of the die carrier. The first and second arrangements of solder bumps are symmetric to one another, therein balancing a surface tension during a reflow operation, and generally fixing an orientation of the die carrier with respect to the bottom package.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Shu Lin, Yu-Ling Tsai, Han-Ping Pu
  • Patent number: 8921169
    Abstract: For forming a gate electrode, a conductive film with low resistance including Al or a material containing Al as its main component and a conductive film with low contact resistance for preventing diffusion of Al into a semiconductor layer are laminated, and the gate electrode is fabricated by using an apparatus which is capable of performing etching treatment at high speed.
    Type: Grant
    Filed: May 9, 2013
    Date of Patent: December 30, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Koji Ono, Yoshihiro Kusuyama
  • Patent number: 8865586
    Abstract: A method includes forming a polymer layer over a metal pad, forming an opening in the polymer layer to expose a portion of the metal pad, and forming an under-bump-metallurgy (UBM). The UBM includes a portion extending into the opening to electrically couple to the metal pad.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: October 21, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Wen Wu, Zheng-Yi Lim, Ming-Che Ho, Chung-Shi Liu
  • Patent number: 8841211
    Abstract: Methods for forming interconnect structures are provided herein. In some embodiments, a method for forming an interconnect on a substrate may include depositing a material atop an upper surface of the substrate and atop one or more surfaces of a feature disposed in the substrate by a first deposition process that deposits the material at a faster rate on the upper surface than on a bottom surface of the feature; depositing the material atop the upper surface of the substrate and atop one or more surfaces of the feature by a second deposition process that deposits the material at a greater rate on the bottom surface of the feature than on the upper surface of the substrate; and heating the deposited material to draw the deposited material towards the bottom surface of the feature to at least partially fill the feature with the deposited material.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: September 23, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Joung Joo Lee, Xianmin Tang, Tza-Jing Gung
  • Patent number: 8835296
    Abstract: The present invention provides an electronic component manufacturing method including a step of embedding a metal film. An embodiment of the present invention includes a first step of depositing a barrier layer containing titanium nitride on an object to be processed on which a concave part is formed and a second step of filling a low-melting-point metal directly on the barrier layer under a temperature condition allowing the low-melting-point metal to flow, by a PCM sputtering method while forming a magnetic field by a magnet unit including plural magnets which are arranged at grid points of a polygonal grid so as to have different polarities between the neighboring magnets.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: September 16, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Shunichi Wakayanagi, Takayuki Saito, Takuya Seino, Akira Matsuo, Koji Yamazaki, Eitaro Morimoto, Yohsuke Shibuya, Yu Sato, Naomu Kitano
  • Patent number: 8766458
    Abstract: Stacked microelectronic dies employing die-to-die interconnects and associated systems and methods are disclosed herein. In one embodiment, a stacked system of microelectronic dies includes a first microelectronic die, a second microelectronic die attached to the first die, and a die-to-die interconnect electrically coupling the first die with the second die. The first die includes a back-side surface, a surface depression in the back-side surface, and a first metal contact located within the surface depression. The second die includes a front-side surface and a second metal contact located at the front-side surface and aligned with the first metal contact of the first die. The die-to-die interconnect electrically couples the first metal contact of the first die with the second metal contact of the second die and includes a flowable metal layer that at least partially fills the surface depression of the first die.
    Type: Grant
    Filed: November 20, 2012
    Date of Patent: July 1, 2014
    Assignee: Micron Technology, Inc.
    Inventor: David S. Pratt
  • Patent number: 8759210
    Abstract: A solder structure for joining an IC chip to a package substrate, and method of forming the same are disclosed. In an embodiment, a structure is formed which includes a wafer having a plurality of solder structures disposed above the wafer. A ball limiting metallurgy (BLM) layer disposed beneath each of the solder structures, above the wafer. At least one of the plurality of solder structures has a first composition, and at least another of the plurality of solder structures has a second composition.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: June 24, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles L. Arvin, Wolfgang Sauter, Jennifer D. Schuler
  • Patent number: 8513112
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: August 20, 2013
    Assignee: Mosaid Technologies, Incorporated
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8436252
    Abstract: A printed wiring board includes a first insulation layer, a first conductive circuit formed on the first insulation layer, a second insulation layer formed on the first insulation layer and the first conductive circuit and having an opening portion reaching the first conductive circuit, a second conductive circuit formed on the second insulation layer, and a via conductor formed in the opening portion and connecting the first conductive circuit and the second conductive circuit. The via conductor is formed an inner-wall surface of the opening portion and has a seed layer including a nitride compound and/or a carbide compound containing Ti, Zr, Hf, V, Nb, Ta or Si and a plated-metal film formed in the opening portion, and the plated-metal film and the first conductive circuit have at least portions making direct contact.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: May 7, 2013
    Assignee: Ibiden Co., Ltd.
    Inventors: Shuichi Kawano, Koichi Tsunoda
  • Publication number: 20130075898
    Abstract: Stacked microelectronic dies employing die-to-die interconnects and associated systems and methods are disclosed herein. In one embodiment, a stacked system of microelectronic dies includes a first microelectronic die, a second microelectronic die attached to the first die, and a die-to-die interconnect electrically coupling the first die with the second die. The first die includes a back-side surface, a surface depression in the back-side surface, and a first metal contact located within the surface depression. The second die includes a front-side surface and a second metal contact located at the front-side surface and aligned with the first metal contact of the first die. The die-to-die interconnect electrically couples the first metal contact of the first die with the second metal contact of the second die and includes a flowable metal layer that at least partially fills the surface depression of the first die.
    Type: Application
    Filed: November 20, 2012
    Publication date: March 28, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: MICRON TECHNOLOGY, INC.
  • Publication number: 20120235295
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Application
    Filed: May 31, 2012
    Publication date: September 20, 2012
    Applicant: MOSAID TECHNOLOGIES, INCORPORATED
    Inventors: Kie Y. AHN, Leonard Forbes
  • Patent number: 8211792
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: July 3, 2012
    Assignee: Mosaid Technologies Incorporated
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7994034
    Abstract: A programmable resistance, chalcogenide, switching or phase-change material device includes a substrate with a plurality of stacked layers including a conducting bottom electrode layer, an insulative layer having an opening formed therein, an active material layer deposited over both the insulative layer, within the opening, and over selected portions of the bottom electrode, and a top electrode layer deposited over the active material layer. The device uses temperature and pressure control methods to increase surface mobility in an active material layer, thus providing complete coverage or fill of the openings in the insulative layer, selected exposed portions of the bottom electrode layer, and the insulative layer.
    Type: Grant
    Filed: March 10, 2008
    Date of Patent: August 9, 2011
    Assignee: Ovonyx, Inc.
    Inventors: Jeff Fournier, Wolodymyr Czubatyj, Tyler Lowrey
  • Patent number: 7888261
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: February 15, 2011
    Assignee: Mosaid Technologies, Incorporated
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7829393
    Abstract: A copper gate electrode, applied in a thin-film-transistor liquid crystal display (LCD) device, at least comprises a patterned copper layer formed on a glass substrate, and a barrier layer formed on the patterned copper layer. The barrier layer comprises at least one of nitrogen and phosphorus, or comprises an alloy formularized as M1M2R wherein M1 is cobalt (Co) or molybdenum (Mo), M2 is tungsten (W), molybdenum (Mo), rhenium (Re) or vanadium (V), and R is boron (B) or phosphorus (P).
    Type: Grant
    Filed: February 6, 2009
    Date of Patent: November 9, 2010
    Assignee: Au Optronics Corp.
    Inventors: Yu-Wei Liu, Wen-Ching Tsai, Kuo-Yu Huang, Hui-Fen Lin
  • Patent number: 7825026
    Abstract: A gas inlet is disposed in a lower portion of a reaction chamber, a copper substrate is disposed in an upper portion thereof, and a tungsten catalytic body heated to 1600° C. is disposed midway between the two. Ammonia gas introduced from the gas inlet is decomposed by the tungsten catalytic body, a chemical species generated by the decomposition reacts with a surface of the copper substrate, and reduces and removes a contaminant on the copper surface, and a Cu3N thin film is formed on the copper substrate surface. This Cu3N film has the action of a film which prevents the oxidation of copper. This Cu3N film is thermally decomposed and removed when heated to temperatures of not less than 300° C., leaving a clean copper surface behind.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: November 2, 2010
    Assignee: Kyushu Institute of Technology
    Inventors: Akira Izumi, Masamichi Ishihara
  • Patent number: 7648904
    Abstract: A metal line in a semiconductor device includes an insulation layer having trenches formed therein, a barrier metal layer formed over the insulation layer and the trenches, a metal layer formed over the barrier metal layer, wherein the metal layer fills the trenches, and an anti-galvanic corrosion layer formed on an interface between the metal layer and the barrier metal layer.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 19, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young-Soo Choi, Gyu-Hyun Kim
  • Patent number: 7553748
    Abstract: According to one embodiment, a gate structure including a gate insulation pattern, a gate pattern and a gate mask is formed on a channel region of a substrate to form a semiconductor device. A spacer is formed on a surface of the gate structure. An insulating interlayer pattern is formed on the substrate including the gate structure, and an opening is formed through the insulating interlayer pattern corresponding to an impurity region of the substrate. A conductive pattern is formed in the opening and a top surface thereof is higher than a top surface of the insulating interlayer pattern. Thus, an upper portion of the conductive pattern is protruded from the insulating interlayer pattern. A capping pattern is formed on the insulating interlayer pattern, and a sidewall of the protruded portion of the conductive pattern is covered with the capping pattern. Accordingly, the capping pattern compensates for a thickness reduction of the gate mask.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Ho Jang, Sang-Ho Song, Sung-Sam Lee, Min-Sung Kang, Won-Tae Park, Min-Young Shim
  • Publication number: 20090152726
    Abstract: A metal line includes a lower metal line pattern having a first width formed over the dielectric pattern and an upper metal line pattern formed over and contacting the lower metal line pattern such that the upper metal line pattern has a second width less than the first width.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 18, 2009
    Inventor: Kwang-Seon Choi
  • Patent number: 7524697
    Abstract: A burn-in process for a semiconductor integrated circuit device includes a first process of positioning bump electrodes of the semiconductor integrated circuit device with respect to pads of a socket having detachment mechanisms, a second process of pressing the bump electrodes against the pads by weighting the semiconductor integrated circuit device, and a third process of detaching the bump electrodes from the pads by exerting force on the semiconductor integrated circuit device in a direction opposite to a weighting direction of the second process. Automatic insertion and detachment of a semiconductor integrated circuit chip in a burn-in test is facilitated by detaching the bump electrodes from the pads by pushing up the semiconductor integrated circuit device.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: April 28, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Naohiro Makihira, Satoshi Imasu, Masanao Sato
  • Patent number: 7510961
    Abstract: A method for manufacturing an interconnect structure situated on a semiconductor wafer having a substrate assembly thereon. The interconnect structure is formed in a recess such as a trench, a hole, a via, or a combination of a trench and a hole or via within a dielectric material situated on the substrate assembly of the semiconductor wafer. At least one barrier layer is deposited within the recess. A seed layer helping to promote nucleation, deposition, and growth of a material that will be used to fill up the recess is then deposited on the barrier layer. An electrically conductive layer is then formed upon the seed layer. An energy absorbing layer will then be formed upon the conductor layer, where the energy absorbing layer has a greater thermal absorption capacity than that of the electrically conductive layer. The energy absorbing layer is heated, with or without an applied heightened pressure, to cause the conductor layer to flow so as to fill voids that have formed within the dielectric structure.
    Type: Grant
    Filed: February 14, 1997
    Date of Patent: March 31, 2009
    Assignee: Micron Technology, Inc.
    Inventor: John H. Givens
  • Patent number: 7465977
    Abstract: There is described a method for producing a packaged integrated circuit. The method comprises a first step of building an integrated circuit having a micro-structure suspended above a micro-cavity, and having a heating element on the micro-structure capable of heating itself and its immediate surroundings. A layer of protective material is then deposited on said micro-structure such that at least a top surface of the micro-structure and an opening of the micro-cavity is covered, wherein the protective material is in a solid state at room temperature and can protect the micro-structure during silicon wafer dicing procedures and subsequent packaging. The integrated circuit is packaged and an electric current is passed through the heating element such that a portion of the protective material is removed and an unobstructed volume is provided above and below the micro-structure.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: December 16, 2008
    Assignee: Microbridge Technologies Inc.
    Inventors: Leslie M. Landsberger, Oleg Grudin
  • Patent number: 7413979
    Abstract: Microelectronic devices, methods for packaging microelectronic devices, and methods for forming vias and conductive interconnects in microfeature workpieces and dies are disclosed herein. In one embodiment, a method includes forming a bond-pad on a die having an integrated circuit, the bond-pad being electrically coupled to the integrated circuit. A conductive line is then formed on the die, the conductive line having a first end portion attached to the bond-pad and a second end portion spaced apart from the bond-pad. The method can further include forming a via or passage through the die, the bond-pad, and the first end portion of the conductive line, and depositing an electrically conductive material in at least a portion of the passage to form a conductive interconnect extending at least generally through the microelectronic device.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: August 19, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Sidney B. Rigg, Charles M. Watkins, Kyle K. Kirby, Peter A. Benson, Salman Akram
  • Patent number: 7316974
    Abstract: A wiring pattern formation method in which a wiring pattern is formed by arranging, in a region which is demarcated by a partition wall, liquid material which includes an electrically conductive material, including: arranging a resin material around the periphery of a region upon which the wiring pattern is to be formed; imparting liquid affinity to a demarcated region which has been demarcated by the resin material; narrowing down the demarcated region by flowing out the resin material towards and into the demarcated region; and forming the partition wall by curing the resin material.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: January 8, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Noboru Uehara, Tsuyoshi Shintate, Kazuaki Sakurada
  • Patent number: 7288472
    Abstract: Embodiments of a method for attaching a die to a substrate using a flame or other heat source are disclosed. The flame may be produced by combustible gas. Also disclosed are embodiments of a system for performing die attach using a flame. Other embodiments are described and claimed.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: October 30, 2007
    Assignee: Intel Corporation
    Inventors: Kris J. Frutschy, Sudarshan V. Rangaraj, Tom M. Lappin
  • Patent number: 7265044
    Abstract: A process for forming bumps on electrode pads for a wiring board including a substrate and a plurality of electrode pads. The process (a) forms a laminated two-layer film on the wiring board and forms a pattern of apertures at positions corresponding to the electrode pads, the laminated two-layer film including a lower layer containing an alkali-soluble radiation-nonsensitive resin composition and an upper layer containing a negative radiation-sensitive resin composition; (b) fills a low-melting metal in the aperture pattern; (c) reflows the low-melting metal by pressing or heating to form bumps; and (d) peels and removes the laminated two-layer film from the board. The laminated film including two layers with different properties permits high resolution and easy peeling.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: September 4, 2007
    Assignee: JSR Corporation
    Inventors: Masaru Ohta, Katsumi Inomata, Shinichiro Iwanaga
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7188411
    Abstract: A process for forming portions of a compound material within an electronic circuit includes the formation of a cavity having at least one opening facing onto an access surface. The cavity furthermore has an internal wall with at least one region made of an initial material (for example, silicon). A metal is deposited close to the region of initial material. The circuit is then heated to form a portion of the compound material (for example, a silicide) in the region of initial material inside the cavity. The compound material is formed from elements of the initial material and from some of the metal deposited. The excess metal that has not formed some of the compound material is then removed from the cavity.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: March 13, 2007
    Assignee: STMicroelectronics S.A.
    Inventors: Philippe Coronel, Christophe Regnier, François Wacquant, Thomas Skotnicki
  • Patent number: 7186643
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: March 6, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y Ahn, Leonard Forbes
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7164191
    Abstract: A low relative permittivity SiOx film excellent in heat resistance without using an alkali metal, fluorine, etc., a method for modifying an SiOx film to accomplish a further reduction of the relative permittivity of the low relative permittivity SiOx film and further to increase the insulating property, a highly reliable semiconductor device free from crack or peeling of the film by employing the low relative permittivity SiOx film as an interlayer insulating film for metal wirings, are provided. The low relative permittivity film is characterized in that it is made of a porous material, the major constituent of which is SiOx (where 1.8?X?1.0), and the relative permittivity at 1 MHz is at most 2.3.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: January 16, 2007
    Assignee: Denki Kagaku Kogyo Kabushiki Kaisha
    Inventors: Hiroshi Morisaki, Yasuo Imamura
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7091124
    Abstract: Microelectronic devices, methods for packaging microelectronic devices, and methods for forming vias and conductive interconnects in microfeature workpieces and dies are disclosed herein. In one embodiment, a method includes forming a bond-pad on a die having an integrated circuit, the bond-pad being electrically coupled to the integrated circuit. A conductive line is then formed on the die, the conductive line having a first end portion attached to the bond-pad and a second end portion spaced apart from the bond-pad. The method can further include forming a via or passage through the die, the bond-pad, and the first end portion of the conductive line, and depositing an electrically conductive material in at least a portion of the passage to form a conductive interconnect extending at least generally through the microelectronic device.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Sidney B. Rigg, Charles M. Watkins, Kyle K. Kirby, Peter A. Benson, Salman Akram
  • Patent number: 6987060
    Abstract: A contact hole fabrication method for semiconductor device includes forming a dielectric layer on a semiconductor substrate, forming an antireflective layer on the dielectric layer, forming an amine source layer on the antireflective layer, forming a photoresist layer on the amine source layer, forming a first hole pattern having a T profile and afooting profile by exposing and developing the photoresist layer, forming a second hole pattern in which the profiles are changed by reflowing the photoresist layer, and forming a contact hole by selectively removing the amine source layer, the antireflective layer, and the dielectric layer using the photoresist layer as a mask.
    Type: Grant
    Filed: September 7, 2004
    Date of Patent: January 17, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Seoung-Won Baek
  • Patent number: 6982223
    Abstract: A method of manufacturing a semiconductor device by which a generation of a void is prevented after depositing an interlayer dielectric material. First, a plurality of conductive patterns are formed on a substrate and then, a capping insulation layer is formed on the conductive patterns. The capping insulation layer is treated with plasma, and an interlayer dielectric material is deposited on the plasma treated capping insulation layer. The dependency of the interlayer dielectric on the type of material and form of an underlying layer is reduced to improve a gap-filling characteristic, especially for a gap having a high aspect ratio. An improved gap-filling characteristic is accomplished and the formation of all or substantially all of the voids from forming in a gap is prevented even though an interlayer dielectric is deposited under a conventional deposition conditions.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: January 3, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Ju-Wan Kim, Shin-Hye Kim, Ju-Bum Lee, Hyong-Soo Kim
  • Patent number: 6977213
    Abstract: Disclosed herein are a method of manufacturing a solder bump on a semiconductor device, a solder bump structure formed on a substrate, and an intermediate solder bump structure. In one embodiment, the method includes creating a bonding pad over a semiconductor substrate, and placing a mask layer over the substrate and the bonding pad. The method also includes forming an opening in the mask layer having a primary solder mold and at least one secondary solder mold joined with the primary mold, where the opening exposes a portion of the bonding pad. In this embodiment, the method further includes filling the primary solder mold and the at least one secondary solder mold with solder material to form corresponding primary and at least one secondary solder columns in electrical contact with the bonding pad. The method also includes removing the mask layer after the filling of the solder molds with the solder material.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: December 20, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Ying Tsai, Shih-Ming Chen, Kuo-Wei Lin
  • Patent number: 6969301
    Abstract: A scheme for filling plugs through chemical mechanical polishing comprises depositing a malleable conductive layer over a dielectric layer having openings formed therein. The malleable conductive layer is deposited such that a liner is formed within the openings, however the openings are not completely filled. A chemical mechanical polishing process using an alumina based slurry at a neutral or slightly basic pH and no oxidizer is used to smear the malleable conductive layer sufficiently to fill the remainder of the openings in the dielectric layer forming filled or substantially filled plugs.
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: November 29, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 6949464
    Abstract: An improved semiconductor device fabrication method comprises insertion of a semiconductor wafer into a high-pressure heated chamber and deposition of a low melting-point aluminum material into a contact hole or via and over an insulating layer overlying a substrate of the wafer. The wafer is heated up to the melting point of the aluminum material and the chamber is pressurized to force the aluminum material into the contact holes or vias and eliminate voids present therein. A second layer of material, comprising a different metal or alloy, which is used as a dopant source, is deposited over an outer surface of the deposited aluminum material layer and allowed to diffuse into the aluminum material layer in order to form a homogenous aluminum alloy within the contact hole or via. A semiconductor device structure made according to the method is also disclosed.
    Type: Grant
    Filed: February 17, 2000
    Date of Patent: September 27, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Trung T. Doan
  • Patent number: 6936534
    Abstract: A method for the post-etch cleaning of multi-level, damascene structures which minimizes, or substantially prevents, localized corrosion of underlying copper metallization comprises subjecting an intermediate structure in the fabrication of a multi-level, damascene structure, which structure includes an underlying copper metallization layer and an opening etched therein which exposes at least a portion of the underlying copper metallization layer, to an aqueous or acidic wash solution, in an environment substantially shielded from ambient light, to substantially remove any post-etch residues which may be present on the structure. In one embodiment, the aqueous or acidic wash solution has a nonzero static etch rate when applied to both the copper and conventional dielectric materials, e.g., silicon dioxide.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: August 30, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 6900121
    Abstract: Oxide voiding is eliminated was substantially reduced by laser thermal annealing. Embodiments include fabricating flash memory devices by depositing a BPSG over spaced apart transistors as the first interlayer dielectric with voids formed in gaps between the transistors and laser thermal annealing the BPSG layer in flowing nitrogen to eliminate or substantially reduce the voids by reflowing the BPSG layer.
    Type: Grant
    Filed: March 14, 2002
    Date of Patent: May 31, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Arvind Halliyal, Dawn Hopper
  • Patent number: 6893957
    Abstract: A dual damascene process is disclosed, in which a contact via and trench pattern is etched into insulating layer(s). The via is first partially filled by selective metal (e.g., tungsten) deposition, thereby forming a partial plug that raises the floor and reduces the effective aspect ratio of the trench and via structure. The remaining portion of the contact via is then filled with a more conductive material (e.g., aluminum). This deposition also at least partially fills the overlying trench to form metal runners. In the illustrated embodiment, hot aluminum deposition fills the portion of the contact via left unoccupied by the selective deposition and overfills into the trench. A further, cold aluminum deposition then follows, topping off the trench prior to planarization.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: May 17, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Jigish D. Trivedi, Mike P. Violette
  • Patent number: 6878594
    Abstract: A semiconductor device having a self-aligned contact hole is formed by providing a side wall oxide film on a gate electrode, covering the gate electrode and the side wall oxide film by an oxide film and further covering the oxide film by a nitride film, wherein the oxide film is formed by a plasma CVD process with a reduced plasma power such that the H2O content in the oxide film is less than about 2.4 wt %.
    Type: Grant
    Filed: March 20, 1998
    Date of Patent: April 12, 2005
    Assignee: Fujitsu Limited
    Inventors: Kousuke Suzuki, Katsuyuki Karakawa
  • Patent number: 6872653
    Abstract: After deposition of a conductor film made of titanium tungsten over a main surface of a semiconductor substrate formed with grooves, an initial conductor film made of aluminium is further deposited. Subsequently, the conductor film is made to reflow and run into the grooves. Thereafter, while heating, further conductor films are respectively deposited, thereby causing these conductor films to run into the grooves. The provision of the initial conductor film suppresses or prevents aluminium in the further conductor films and silicon in the semiconductor substrate from reacting with each other during reflowing of the conductor films.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: March 29, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Yuji Fujii
  • Patent number: 6858530
    Abstract: A method and structure for the electrical characterization of a semiconductor device comprising, first, forming a hole having a diameter less than 0.15 ?m, wherein the hole is created using focused ion beam (FIB) etching, and through at least a protective cap layer formed over the device. The FIB etching occurs in an electron mode using a beam current less than 35 ?A with an aperture size less than 50 ?m, and at an acceleration voltage of about 50 kV. Second, the surface of the hole is coated with a metal, preferably using chemical vapor deposition (CVD) and preferably using a FIB device. Third, a metal pad is deposited, preferably by FIB CVD, over the hole. Fourth, the pad is probed to determine characteristics and/or detect defects of the electrical device. The present invention allows for electrical characterization without causing damage to the device or its features.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: February 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Terence Kane, Lawrence S. Fischer, Steven B. Herschbein, Ying Hong, Michael P. Tenney
  • Patent number: RE40965
    Abstract: There is formed on a semiconductor substrate a lamination of a first insulating film of nondoped silicon glass or the like and, on this first insulating film, a second insulating film of boron phosphor silicate glass or the like, with a conductor layer between the two insulating films. A hole is first dry-etched in the second insulating film, leaving the substrate surface covered by the first insulating film. Then the second insulating film is heated to a reflow temperature such that the hole is thermally deformed, flaring as it extends away from the insulating film. Then a second hole is dry-etched in the first insulating film through the first recited hole in the second insulating film, with the consequent exposure of the semiconductor surface. Then a contract electrode is fabricated by filling the first and the second hole with an electroconductive material into direct contact with the substrate surface.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: November 10, 2009
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Shuichi Kaneko, Hironori Aoki, Akio Iwabuchi