Having Adhesion Promoting Layer Patents (Class 438/644)
  • Patent number: 7989342
    Abstract: The present invention relates to a method for fabricating a diffusion-barrier cap on a Cu-containing interconnect element that has crystallites of at least two different crystal orientations, comprises selectively incorporating Si into only a first set of crystallites with at least one first crystal orientation, employing first process conditions, and subsequently selectively forming a first adhesion-layer portion comprising CuSi and a first diffusion-barrier-layer portion only on the first set of crystallites, thus forming a first barrier-cap portion, and subsequently selectively incorporating Si into only the second set of crystallites, employing second process conditions that differ from the first process conditions, and forming a second barrier-cap portion comprising a Si-containing second diffusion-barrier layer portion on the second set of crystallites of the interconnect element.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: August 2, 2011
    Inventors: Joaquin Torres, Laurent Gosset, Sonarith Chhun, Vincent Arnal
  • Patent number: 7968455
    Abstract: A method for plating copper onto a semiconductor integrated circuit device substrate by forming an initial metal deposit in the feature which has a profile comprising metal on the bottom of the feature and a segment of the sidewalls having essentially no metal thereon, electrolessly depositing copper onto the initial metal deposit to fill the feature with copper. A method for plating copper onto a semiconductor integrated circuit device substrate by forming a deposit comprising a copper wettable metal in the feature, forming a copper-based deposit on the top-field surface, and depositing copper onto the deposit comprising the copper wettable metal to fill the feature with copper.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: June 28, 2011
    Assignee: Enthone Inc.
    Inventors: Xuan Lin, Richard Hurtubise, Vincent Paneccasio, Qingyun Chen
  • Publication number: 20110151659
    Abstract: A method for forming a through substrate via (TSV) comprises forming an opening within a substrate. An adhesion layer of titanium is formed within the via opening, a nucleation layer of titanium nitride is formed over the adhesion layer, and a tungsten layer is deposited over the nucleation layer, the tungsten layer having a thickness less than or equal to a critical film thickness sufficient to provide for film integrity and adhesion stability. A stress relief layer of titanium nitride is formed over the tungsten layer and a subsequent tungsten layer is deposited over the stress relief layer. The subsequent tungsten layer has a thickness less than or equal to the critical film thickness. The method further includes planarizing to expose the interlevel dielectric layer and a top of the TSV and backgrinding a bottom surface of the substrate sufficient to expose a bottom portion of the TSV.
    Type: Application
    Filed: February 28, 2011
    Publication date: June 23, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: THUY B. DAO, CHANH M. VUONG
  • Patent number: 7910476
    Abstract: A method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on the substrate, reducing oxide formation on the capping layer, and then depositing a dielectric material. A method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on a substrate, exposing the capping layer to a plasma, heating the substrate to more than about 100° C., and depositing a low dielectric constant material.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Hongbin Fang, Timothy Weidman, Fang Mei, Yaxin Wang, Arulkumar Shanmugasundram, Christopher D. Bencher, Mehul B. Naik
  • Patent number: 7888263
    Abstract: In semiconductor integrated circuit and device fabrication interconnect metallization is accomplished by a clad Ag deposited on a SiO2 level on a Si surface. The clad Ag has a layer of an alloy of Ag and Al (5 atomic %) contacting the SiO2, a layer of substantially pure Ag and an outer layer of the Ag and Al alloy. The alloy improves adhesion to the SiO2, avoids agglomeration of the Ag, reduces or eliminates diffusion at the SiO2 surface, reduces electromigration and presents a passive exterior surface.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: February 15, 2011
    Assignee: Arizona Board of Regents
    Inventors: Terry L. Alford, Ekta Misra
  • Patent number: 7858517
    Abstract: First, in a first step, a gate electrode is formed over a silicon substrate, with a gate insulation film therebetween. Next, in a second step, etching with the gate electrode as a mask is conducted so as to dig down a surface layer of the silicon substrate. Subsequently, in a third step, a first layer including an SiGe layer is epitaxially grown on the dug-down surface of the silicon substrate. Next, in a fourth step, a second layer including an SiGe layer lower than the first layer in Ge concentration or including an Si layer is formed on the first layer. Thereafter, in a fifth step, at least the surface side of the second layer is silicided, to form a silicide layer.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: December 28, 2010
    Assignee: Sony Corporation
    Inventors: Naoyuki Sato, Kohjiro Nagaoka, Takashi Shinyama
  • Publication number: 20100308463
    Abstract: Adhesive layers residing at an interface between metal lines and dielectric diffusion barrier (or etch stop) layers are used to improve electromigration performance of interconnects. Adhesion layers are formed by depositing a precursor layer of metal-containing material (e.g., material containing Al, Ti, Ca, Mg, etc.) over an exposed copper line, and converting the precursor layer to a passivated layer (e.g., nitridized layer). For example, a substrate containing exposed copper line having exposed Cu—O bonds is contacted with trimethylaluminum to form a precursor layer having Al—O bonds and Al—C bonds on copper surface. The precursor layer is then treated to remove residual organic substituents and to form Al—N, Al—H bonds or both. The treatment can include direct plasma treatment, remote plasma treatment, UV-treatment, and thermal treatment with a gas such as NH3, H2, N2, and mixtures thereof. A dielectric diffusion barrier layer is then deposited.
    Type: Application
    Filed: January 15, 2010
    Publication date: December 9, 2010
    Inventors: Jengyi Yu, Hui-Jung Wu, Girish Dixit, Bart van Schravendijk, Pramod Subramonium, Gengwei Jiang, George Andrew Antonelli, Jennifer O'loughlin
  • Patent number: 7842609
    Abstract: A hole is formed in an insulating layer. A semiconductor substrate is heated at a temperature of equal to or more than 330° C. and equal to or less than 400° C. Tungsten-containing gas and at least one of B2H6 gas and SiH4 gas are introduced into a reaction chamber to thereby form a first tungsten layer. Subsequently, at least one of H2 gas and inert gas is introduced into the reaction chamber, the temperature of the semiconductor substrate is raised to equal to or more than 370° C. and equal to or less than 410° C. with 30 or more seconds, and tungsten-containing gas is introduced into the reaction chamber to thereby form a second tungsten layer on the first tungsten layer.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: November 30, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Atsushi Kariya
  • Patent number: 7838414
    Abstract: A semiconductor device is manufactured by forming a low dielectric constant layer on a semiconductor substrate which is formed with metal lines; implementing primary ultraviolet treatment of the low dielectric constant layer; forming a capping layer on the low dielectric constant layer having undergone the primary ultraviolet treatment; and implementing secondary ultraviolet treatment of the low dielectric constant layer including the capping layer.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: November 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Chan Bae Kim, Jong Min Lee, Chae O Chung, Hyeon Ju An, Hyo Seok Lee, Sung Kyu Min
  • Patent number: 7807568
    Abstract: Methods of processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include providing a substrate to a process chamber comprising a dielectric layer having a feature formed therein. A barrier layer may be formed within the feature. A coating of a first conductive material may be formed atop the barrier layer. A seed layer of the first conductive material may be formed atop the coating. The feature may be filled with a second conductive material. In some embodiments, the seed layer may be formed while maintaining the substrate at a temperature of greater than about 40 degrees Celsius.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Arvind Sundarrajan
  • Patent number: 7767570
    Abstract: A method of making an integrated circuit includes providing a low-k dielectric layer on a substrate, the low-k dielectric layer including or adjacent to a plurality of conductive features; patterning the low-k dielectric layer to form trenches; patterning the low-k dielectric layer to form conductive vias and dummy vias, wherein each of the conductive vias is aligned with at least one of the plurality of the conductive features and at least one of the trenches, and each of the dummy vias is a distance above the plurality of conductive features; filling the trenches, conductive vias, and dummy vias using one or more conductive materials; and planarizing the conductive material(s).
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: August 3, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuei Shun Chen, Chin-Hsiang Lin, Vencent Chang, Lawrence Lin, Lai Chien Wen, Jhun Hua Chen
  • Patent number: 7737028
    Abstract: Embodiments of the invention provide processes for selectively forming a ruthenium-containing film on a copper surface over exposed dielectric surfaces. Thereafter, a copper bulk layer may be deposited on the ruthenium-containing film. In one embodiment, a method for forming layers on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a copper-containing surface and a dielectric surface, exposing the substrate to a ruthenium precursor to selectively form a ruthenium-containing film over the copper-containing surface while leaving exposed the dielectric surface, and depositing a copper bulk layer over the ruthenium-containing film.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Rongjun Wang, Hua Chung, Jick M. Yu, Praburam Gopalraja
  • Patent number: 7727883
    Abstract: A method of forming an interconnect structure is provided. The method includes depositing a cobalt metal layer in an interconnect opening formed within a dielectric material containing a dielectric reactant element. The method further includes, in any order, thermally reacting at least a portion of the cobalt metal layer with at least a portion of the dielectric material to form a diffusion barrier containing a compound of the reactive metal from the cobalt metal layer and the dielectric reactant element from the dielectric material, and forming a cobalt nitride adhesion layer in the interconnect opening. The method further includes filling the interconnect opening with Cu metal, where the diffusion barrier and the cobalt nitride adhesion layer surround the Cu metal in the interconnect opening.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: June 1, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Shigeru Mizuno
  • Patent number: 7709349
    Abstract: In one aspect, there is provided a method of manufacturing a semiconductor device that comprises placing a blocking layer, a CMP stop layer and a bulk oxide layer over an oxide cap layer that is located over gate structures and source/drains located adjacent thereto. The bulk oxide layer and the CMP stop layer are removed with a CMP process to expose the top of gate electrodes and are removed from over the source/drain areas with a wet etch. The CMP stop layer has a CMP removal rate that is less than a CMP removal rate of the bulk oxide layer and has a wet etch removal rate that is greater than a wet etch removal rate of the blocking layer.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: May 4, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Mark R. Visokay
  • Patent number: 7704885
    Abstract: A method for fabricating a semiconductor device is provided. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a first insulating layer, a first conductive layer and a chemical mechanical polishing (CMP) stop layer over the semiconductor substrate in sequence; forming openings in the chemical mechanical polishing (CMP) stop layer and the underlying first conductive layer to expose the first insulating layer, thereby leaving a patterned chemical mechanical polishing (CMP) stop layer and a patterned first conductive layer; forming a second insulating layer on the patterned chemical mechanical polishing (CMP) stop layer, filling in the openings; performing a planarization process to remove a portion of the second insulating layer until the patterned chemical mechanical polishing (CMP) stop layer is exposed, thereby leaving a remaining second insulating layer in the openings; removing the patterned chemical mechanical polishing (CMP) stop layer.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kern-Huat Ang, Po-Jen Wang
  • Patent number: 7674712
    Abstract: A method of patterning a substrate by mechanically locating a first masking film over the substrate; removing one or more first opening portions in first locations in the first masking film to form one or more first masking portions in the first masking film. First materials are deposited over the substrate in the first locations to form first patterned areas before mechanically locating a second masking film over the substrate and first masking portions. One or more second opening portions are removed from second locations, different from the first locations, in both the second masking film and the first masking portions to form one or more second masking portions. Second materials are deposited over the substrate in the second locations to form second patterned areas.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: March 9, 2010
    Inventor: Ronald S. Cok
  • Patent number: 7670944
    Abstract: Method and structures are provided for conformal lining of dual damascene structures in integrated circuits. Trenches and contact vias are formed in insulating layers. The trenches and vias are exposed to alternating chemistries to form monolayers of a desired lining material. Exemplary process flows include alternately pulsed metal halide and ammonia gases injected into a constant carrier flow. Self-terminated metal layers are thus reacted with nitrogen. Near perfect step coverage allows minimal thickness for a diffusion barrier function, thereby maximizing the volume of a subsequent filling metal for any given trench and via dimensions.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: March 2, 2010
    Assignee: ASM International N.V.
    Inventors: Ivo Raaijmakers, Suvi P. Haukka, Ville A. Saanila, Pekka J. Soininen, Kai-Erik Elers, Ernst H.A. Granneman
  • Patent number: 7659202
    Abstract: A method performed on a wafer having multiple chips each including a doped semiconductor and substrate involves etching an annulus trench, metalizing an inner and an outer perimeter side wall of the annulus trench, etching a via trench into the wafer, making a length of the via trench electrically conductive, thinning a surface of the substrate.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: February 9, 2010
    Inventor: John Trezza
  • Patent number: 7629221
    Abstract: Disclosed is a method for forming a capacitor of a semiconductor device. In such a method, a mold insulating layer is formed on an insulating interlayer provided with a storage node plug, and the mold insulating layer is etched to form a hole through which the storage node plug is exposed. Next, a metal storage electrode with an interposed WN layer is formed on a hole surface including the exposed storage node plug and the mold insulating layer is removed. Finally, a dielectric layer and a plate electrode are formed in order on the metal storage electrode.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: December 8, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Seon Park, Jae Sung Roh, Hyun Chul Sohn
  • Patent number: 7618855
    Abstract: A technology capable of improving the yield in a manufacturing process of a MISFET with a gate electrode formed of a metal silicide film. A gate insulating film is formed on a semiconductor substrate and silicon gate electrodes formed of a polysilicon film are formed on the gate insulating film. Then, after a silicon oxide film is formed so as to cover the silicon gate electrodes, a surface of the silicon oxide film is polished by CMP, thereby exposing the surface of the silicon gate electrodes. Subsequently, a patterned insulating film is formed on the silicon oxide film. Thereafter, an adhesion film is formed on the silicon oxide film and the insulating film. Then, a nickel film is formed on the adhesion film. Thereafter, a silicide reaction is caused to occur between the silicon gate electrode and the nickel film via the adhesion film.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: November 17, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Masaru Kadoshima, Toshihide Nabatame
  • Patent number: 7611985
    Abstract: Methods and systems for forming holes in a substrate using dewetting coating are described herein.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: November 3, 2009
    Assignee: Intel Corporation
    Inventors: James C. Matayabas, Jr., Lakshmi Supriya
  • Patent number: 7601637
    Abstract: Apparatus and methods of fabricating an atomic layer deposited tantalum containing adhesion layer within at least one dielectric material in the formation of a metal, wherein the atomic layer deposition tantalum containing adhesion layer is sufficiently thin to minimize contact resistance and maximize the total cross-sectional area of metal, including but not limited to tungsten, within the contact.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: October 13, 2009
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Kerry Spurgin, Brennan L. Peterson
  • Patent number: 7585782
    Abstract: The invention includes methods of selectively removing metal-containing copper barrier materials (such as tantalum-containing materials, titanium-containing materials and tungsten-containing materials) relative to oxide (such as silicon dioxide) and/or copper. The selective removal can utilize etchant solutions containing hydrofluoric acid and one or more carboxylic acids. The etchant solutions can contain less than 6 weight percent water, and/or can have a dielectric constant below 40.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: September 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Joseph N. Greeley, Paul A. Morgan
  • Patent number: 7560342
    Abstract: Embodiments relate to a method of manufacturing a semiconductor device that may simplify a manufacturing process and may reduce process costs. According to embodiments, the method may include simultaneously forming a first gate of a first device area and a second gate of a second device area, patterning a PMD layer to form a first contact hole exposing the first gate, depositing and planarizing a high dielectric constant material and first and second metallic materials on the semiconductor substrate to expose PMD layer, forming an insulating layer, a metal layer and a third gate in the first contact hole, patterning the PMD layer to form a second contact hole exposing the second gate, and depositing a third metallic material on the semiconductor substrate and planarizing it such that the PMD layer is exposed, thereby forming a contact in the second contact hole.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: July 14, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kun Hyuk Lee
  • Patent number: 7541280
    Abstract: A method of forming a micromechanical structure, wherein at least one micromechanical structural layer is provided above a substrate. The micromechanical structural layer is sustained between a lower sacrificial silicon layer and an upper sacrificial silicon layer, wherein a metal silicide layer is formed between the lower and upper sacrificial silicon layers to increase interface adhesion therebetween. The upper sacrificial silicon layer, the metal silicide layer and the lower sacrificial silicon layer are then removed to release the micromechanical structural layer.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: June 2, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Heng Po, Shen-Ping Wang, Chia-Chiang Chen
  • Patent number: 7517782
    Abstract: By performing an electroless deposition and an electro deposition process in situ, highly reliable metallizations may be provided, wherein limitations with respect to contaminations and device scaling, encountered by conventional chemical vapor deposition (CVD), atomic layer deposition (ALD) and physical vapor deposition (PVD) techniques for the formation of seed layers may be overcome. In some embodiments, a barrier layer is also deposited on the basis of a wet chemical deposition process.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Susanne Wehner, Markus Nopper
  • Publication number: 20090085212
    Abstract: In semiconductor integrated circuit and device fabrication interconnect metallization is accomplished by a clad Ag deposited on a SiO2 level on a Si surface. The clad Ag has a layer of an alloy of Ag and Al (5 atomic %) contacting the SiO2, a layer of substantially pure Ag and an outer layer of the Ag and Al alloy. The alloy improves adhesion to the SiO2, avoids agglomeration of the Ag, reduces or eliminates diffusion at the SiO2 surface, reduces electromigration and presents a passive exterior surface.
    Type: Application
    Filed: September 25, 2008
    Publication date: April 2, 2009
    Applicant: ARIZONA BOARD OF REGENTS
    Inventors: Terry L. Alford, Ekta Misra
  • Patent number: 7510961
    Abstract: A method for manufacturing an interconnect structure situated on a semiconductor wafer having a substrate assembly thereon. The interconnect structure is formed in a recess such as a trench, a hole, a via, or a combination of a trench and a hole or via within a dielectric material situated on the substrate assembly of the semiconductor wafer. At least one barrier layer is deposited within the recess. A seed layer helping to promote nucleation, deposition, and growth of a material that will be used to fill up the recess is then deposited on the barrier layer. An electrically conductive layer is then formed upon the seed layer. An energy absorbing layer will then be formed upon the conductor layer, where the energy absorbing layer has a greater thermal absorption capacity than that of the electrically conductive layer. The energy absorbing layer is heated, with or without an applied heightened pressure, to cause the conductor layer to flow so as to fill voids that have formed within the dielectric structure.
    Type: Grant
    Filed: February 14, 1997
    Date of Patent: March 31, 2009
    Assignee: Micron Technology, Inc.
    Inventor: John H. Givens
  • Publication number: 20090051034
    Abstract: A method for forming a semiconductor device is provided. The method includes the following steps. A substrate having a first contact is provided. A layered structure is formed on the substrate. A recess is formed into the layered structure to expose at least a portion of the first contact. A glue layer is formed on the layered structure and the at least a portion of the first contact. The glue layer is removed from the at least a portion of the first contact. A second contact is formed contacting the first contact and the glue layer.
    Type: Application
    Filed: August 20, 2007
    Publication date: February 26, 2009
    Inventors: Chih-Yang Pai, Yuan-Hung Liu, Michael Kuang, Kuo-Ching Huang
  • Publication number: 20090029544
    Abstract: A method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on the substrate, reducing oxide formation on the capping layer, and then depositing a dielectric material. A method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on a substrate, exposing the capping layer to a plasma, heating the substrate to more than about 100° C., and depositing a low dielectric constant material.
    Type: Application
    Filed: September 29, 2008
    Publication date: January 29, 2009
    Inventors: Hongbin Fang, Timothy Weidman, Fang Mei, Yaxin Wang, Arulkumar Shanmugasundram, Christopher D. Bencher, Mehul B. Naik
  • Publication number: 20090004848
    Abstract: A method for fabricating an interconnection in a semiconductor device includes forming a hydrogenated tungsten nucleation layer on a semiconductor substrate, and forming a bulk tungsten layer on the tungsten nucleation layer. Boron ions react with a hydrogen gas supplied together with a diborane gas to be restored to a diborane again, thereby preventing a boron layer from being formed on an interface of the tungsten nucleation layer.
    Type: Application
    Filed: December 6, 2007
    Publication date: January 1, 2009
    Inventors: Choon Hwan Kim, II Cheol Rho
  • Patent number: 7470617
    Abstract: In one embodiment, the present invention includes a method for depositing a barrier layer on a substrate having a trench, depositing a liner layer on the barrier layer that includes a surface oxide, electrolessly depositing a copper seed layer on the liner layer, where the surface oxide is reduced in-situ in an electroless bath, depositing a bulk metal layer on the copper seed layer. Other embodiments are described and claimed.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: December 30, 2008
    Assignee: Intel Corporation
    Inventors: Ramanan Chebiam, Chin-Chang Cheng, Damian Whitney, Harsono Simka
  • Patent number: 7459387
    Abstract: A semiconductor electronic device includes a die of semiconductor material and a support. The die of semiconductor material includes an integrated electronic circuit and a plurality of contact pads associated with the electronic circuit and connected electrically to the support by wire leads. Each contact pad may include a lower layer of aluminum, copper, or alloys thereof, and an upper layer including at least one film of a metal and/or metallic alloy including nickel, palladium, or alloys thereof, and being deposited by an electroless chemical process.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: December 2, 2008
    Assignee: STMicroelectronics S.r.L.
    Inventors: Roberto Tiziani, Carlo Passagrilli
  • Patent number: 7456093
    Abstract: A semiconductor device with improved resistance to delamination and method for forming the same the method including providing a semiconductor wafer comprising a metallization layer with an uppermost etch stop layer; forming at least one adhesion promoting layer on the etch stop layer; and, forming an inter-metal dielectric (IMD) layer on the at least one adhesion promoting layer.
    Type: Grant
    Filed: July 3, 2004
    Date of Patent: November 25, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pi-Tsung Chen, Keng-Chu Lin, Hui-Lin Chang, Lih-Ping Li, Tien-I Bao, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 7446032
    Abstract: A process for enhancing the adhesion of directly plateable materials to an underlying dielectric is demonstrated, so as to withstand damascene processing. Using diffusion barriers onto which copper can be deposited facilitates conventional electrolytic processing. An ultra-thin adhesion layer is applied to a degassed, pre-cleaned substrate. The degassed and pre-cleaned substrate is exposed to a precursor gas containing the adhesion layer, optionally deposited by a plasma-assisted CVD process, resulting in the deposition of an adhesion layer inside the exposed feature. The treated wafer is then coated with a diffusion barrier material, such as ruthenium, so that the adhesion layer reacts with incoming diffusion barrier atoms. The adhesion layer may be selectively bias-sputter etched prior to the deposition of the diffusion barrier layer. A copper layer is then deposited on the diffusion barrier layer.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: November 4, 2008
    Assignee: Novellus Systems, Inc.
    Inventor: Sridhar K Kailasam
  • Patent number: 7446037
    Abstract: In semiconductor integrated circuit and device fabrication interconnect metallization is accomplished by a clad Ag deposited on a SiO2 level on a Si surface. The clad Ag has a layer of an alloy of Ag and Al (5 atomic %) contacting the SiO2, a layer of substantially pure Ag and an outer layer of the Ag and Al alloy. The alloy improves adhesion to the SiO2, avoids agglomeration of the Ag, reduces or eliminates diffusion at the SiO2 surface, reduces electromigration and presents a passive exterior surface.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: November 4, 2008
    Inventors: Terry L. Alford, Ekta Misra
  • Publication number: 20080265419
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a layer of a dielectric material. A recess is provided in the layer of dielectric material. A first glue layer and a second glue layer are formed over the recess. The first glue layer comprises titanium and the second glue layer comprises tungsten nitride. The recess is filled with a material comprising tungsten.
    Type: Application
    Filed: November 21, 2007
    Publication date: October 30, 2008
    Inventors: Kai Frohberg, Frank FEUSTEL, Carsten PETERS
  • Patent number: 7435678
    Abstract: Provided is a method of depositing a noble metal layer using an oxidation-reduction reaction. The method includes flowing a noble metal source gas, an oxidizing gas, and a reducing gas into a reaction chamber; and generating plasma in the reaction chamber to form a noble metal layer or a noble metal oxide layer on a bottom structure.
    Type: Grant
    Filed: August 22, 2005
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hyun Lee, Sang-jun Choi
  • Patent number: 7435679
    Abstract: Apparatus and methods of fabricating a microelectronic interconnect having an underlayer which acts as both a barrier layer and a seed layer. The underlayer is formed by co-depositing a noble metal and a barrier material, such as a refractory metal, or formed during thermal post-treatment, such as thermal annealing, conducted after two separately depositing the noble metal and the barrier material, which are substantially soluble in one another. The use of a barrier material within the underlayer prevents the electromigration of the interconnect conductive material and the use of noble material within the underlayer allows for the direct plating of the interconnect conductive material.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Juan E. Dominguez
  • Publication number: 20080119044
    Abstract: A BEOL manufacturing process for forming a via on a semiconductor wafer comprises depositing a portion of a first metal adhesion layer within a patterned via hole, followed by a cooling step. The cooling step is then followed by formation of the remainder of the first metal adhesion layer and formation of a second metal adhesion layer within the patterned via hole. This process of forming the remaining portion of the first metal adhesion layer can be referred to as a load, unload, load (LUL) process. By using a LUL process, thermal processing is minimized, which reduces Al extrusion at the via interfaces.
    Type: Application
    Filed: November 22, 2006
    Publication date: May 22, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Tuung Luoh, Chi-Tung Huang, Kuang-Chao Chen, Candy Jiang
  • Patent number: 7365007
    Abstract: Embodiments include an interconnect or trace of electrically conductive material with a contact surface, and a dielectric layer overlying the contact surface with a via formed on the dielectric layer and to the contact surface. The via sidewalls and perimeter are layered with a manganese oxide (MnO2) layer which is layered over with a conductive polymer material. An interconnect material is formed in the via and in a trench above the perimeter of the via such that the interconnect material is on the conductive polymer material and contacts the contact surface. An additional dielectric layer may be formed over the interconnect material and an additional via may be formed therethrough so that an additional structure having a MnO2 layer, conductive polymer material, and interconnect material can be formed in the additional via and to the interconnect material.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: April 29, 2008
    Assignee: Intel Corporation
    Inventor: Jiun Hann Sir
  • Patent number: 7361590
    Abstract: A method of manufacturing a semiconductor device includes: preparing a semiconductor element having a first metal layer made of first metal on a surface thereof, and a metal substrate made of second metal, the metal substrate having a fourth metal layer made of fourth metal on a surface thereof, and mounting the semiconductor element on the surface thereof; providing metal nanopaste between the first metal layer and the fourth metal layer, the metal nanopaste being formed by dispersing fine particles made of third metal with a mean diameter of 100 nm or less into an organic solvent; and heating, or heating and pressurizing the semiconductor element and the metal substrate between which the metal nanopaste is provided, thereby removing the solvent. Further, each of the first, third and fourth metals is made of any metal of gold, silver, platinum, copper, nickel, chromium, iron, lead, and cobalt, an alloy containing at least one of the metals, or a mixture of the metals or the alloys.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: April 22, 2008
    Assignees: Nissan Motor Co., Ltd.
    Inventors: Kojiro Kobayashi, Akio Hirose, Masanori Yamagiwa
  • Patent number: 7348671
    Abstract: A method for forming electrical interconnects having different diameters and filler materials through a semiconductor wafer comprises forming first and second openings through a semiconductor, wherein the first opening has a narrower width (smaller diameter) than the second opening. A first conductive material is formed over the semiconductor wafer to completely fill the narrower opening and only partially fill the wider opening. The first conductive material is optionally removed from the wider opening using an isotropic etch. A second conductive material is subsequently formed over the semiconductor to completely fill the wider opening.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: March 25, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Kyle K. Kirby
  • Patent number: 7332383
    Abstract: The invention discloses a switching device for a pixel electrode of display device and methods for fabricating the same. A gate is formed on a substrate. A gate insulating layer is formed on the gate. A buffer layer is formed between the gate and the substrate, and/or formed between the gate and the gate insulating layer. The buffer layer comprises TaSix, TaSixNy, TiSix, TiSixNy, WSix, WSixNy, or WCxNy. A semiconductor layer is formed on the gate insulating layer. A source and a drain are formed on a portion of the semiconductor layer. The gate is covered by the buffer layer.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: February 19, 2008
    Assignee: Au Optronics Corp.
    Inventors: Kuo-Lung Fang, Wen-Ching Tsai, Kuo-Yuan Tu, Han-Tu Lin
  • Patent number: 7288474
    Abstract: A metallization process and material system for metallizing either blind or through vias in silicon, involving forming a low coefficient of thermal expansion composite or suspension, relative to pure metals, such as copper, silver, or gold, and filling the via holes in the silicon with the paste or suspension. The suspensions sinter with minimal bulk shrinkage, forming highly conductive structures without the formation of macroscopic voids. The selected suspension maintains a coefficient of thermal expansion closer to that of silicon.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Brian R. Sundlof
  • Patent number: 7279416
    Abstract: A conductive structure is formed in an integrated circuit device by forming a lower conductive pattern on a substrate. A barrier metal layer is formed on the lower conductive pattern. The barrier metal layer is flushed with a gas that includes a halogen group gas and an upper conductive layer is formed on the barrier metal layer.
    Type: Grant
    Filed: March 9, 2004
    Date of Patent: October 9, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hun Seo, Gil-Heyun Choi, Jong-Myeong Lee, Hee-sook Park
  • Patent number: 7271099
    Abstract: A method of forming a conductive pattern on a substrate. The method comprising providing a substrate carrying a conductive layer; forming a first portion of the conductive pattern by exposing the conductive layer to a laser and controlling the laser to remove conductive material around the edge(s) of desired conductive region(s) of the first portion; and laying down an etch resistant material on the conductive layer, the etch resistant material defining a second portion of the conductive pattern, removing conductive material from those areas of the second portion not covered by the etch resistant material, and then removing the etch resistant material.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: September 18, 2007
    Assignee: FFEI Limited
    Inventors: Nigel Ingram Bromley, Martin Philip Gouch, Christoph Bittner
  • Patent number: 7259096
    Abstract: A method for forming an Al interconnect is disclosed. A disclosed method comprises: depositing a Ti layer on a substrate having predetermined devices; depositing a TiN layer on the entire surface of the Ti layer by performing a CVD process; performing a plasma treatment for the TiN layer; depositing an Al layer on the TiN layer; and forming an ARC on the entire surface of the Al layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: August 21, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7244675
    Abstract: The present invention is to provide an electrical connection material through which an electrical connection via conductive particles can be performed reliably regardless of a little unevenness of an object. The electrical connection material is an electrical connection material 100 for electrically connecting an electrical connection portion of a first object 4 and an electrical connection portion of a second object 2. The electrical connection material 100 comprises a first film-like adhesive layer 6 which is a film-like adhesive layer arranged on the first object 4 and is composed of a plurality of conductive particles 7, a first binder 8 containing the conductive particles 7, and a first filler F1 and a second film-like adhesive layer 9 which is arranged on the first film-like adhesive layer 6 and is composed of a second binder 9A whose viscosity is lower than that of the first binder 8 and a second filler F2.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: July 17, 2007
    Assignee: Sony Corporation
    Inventors: Noriyuki Honda, Nobuhiro Hanai, Masakazu Nakada
  • Patent number: 7238626
    Abstract: A method of stabilizing a poly(paraxylylene) dielectric thin film after forming the dielectric thin film via transport polymerization is disclosed, wherein the method includes annealing the dielectric thin film under at least one of a reductive atmosphere and a vacuum at a temperature above a reversible solid phase transition temperature of the dielectric film to convert the film from a lower temperature phase to a higher temperature phase, and cooling the dielectric thin film at a sufficient rate to a temperature below the solid phase transition temperature of the dielectric thin film to trap substantial portions of the film in the higher temperature phase.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: July 3, 2007
    Assignee: Dielectric Systems, Inc.
    Inventors: Chung J. Lee, Atul Kumar