At Least One Layer Forms A Diffusion Barrier Patents (Class 438/653)
  • Publication number: 20120280392
    Abstract: A connection contact layer (4) is disposed between semiconductor bodies (1,2). In the second semiconductor body (2), a recess is provided. A connection layer (7) on the top face extends as far as the recess, in which a metallization (10) is present that conductively connects the connection contact layer (4) to the connection layer (7) in an electrical manner. A polymer (8) or a further metallization is present in the recess.
    Type: Application
    Filed: September 22, 2010
    Publication date: November 8, 2012
    Inventor: Franz Schrank
  • Publication number: 20120282768
    Abstract: A method of forming a semiconductor structure includes providing a substrate; forming a low-k dielectric layer over the substrate; embedding a conductive wiring into the low-k dielectric layer; and thermal soaking the conductive wiring in a carbon-containing silane-based chemical to form a barrier layer on the conductive wiring. A lining barrier layer is formed in the opening for embedding the conductive wiring. The lining barrier layer may comprise same materials as the barrier layer, and the lining barrier layer may be recessed before forming the barrier layer and may contain a metal that can be silicided.
    Type: Application
    Filed: July 17, 2012
    Publication date: November 8, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Hai-Ching Chen, Tien-I Bao
  • Patent number: 8304343
    Abstract: In a method of manufacturing a metal wiring structure, a first metal wiring and a first barrier layer are formed on a substrate, and the first barrier layer is nitridated. An insulating interlayer is formed on the substrate so as to extend over the first metal wiring and the first barrier layer. Part of the insulating interlayer is removed to form a hole exposing at least part of the first metal wiring and part of the first barrier layer. A nitridation plasma treatment is performed on the exposed portion of the first barrier layer. A second barrier layer is formed along the bottom and sides of the hole. A plug is formed on the second barrier layer to fill the hole.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: November 6, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-In Choi, Hyeon-Deok Lee, Gil-Heyun Choi, Jong-Myeong Lee
  • Patent number: 8304340
    Abstract: A semiconductor device manufacturing method including: forming a first interlayer insulating film on a semiconductor substrate; forming a first hole in the first interlayer insulating film; forming a barrier film inside the first hole; filling a conductive material in the first hole to form a first plug; forming a second interlayer insulating film on the first interlayer insulating film; forming a second hole reaching the first plug in the second interlayer insulating film; selectively etching an upper end of the barrier film inside the second hole; and forming a second plug for connection to the first plug inside the second hole.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: November 6, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Mitsutaka Izawa
  • Patent number: 8304924
    Abstract: The invention provides a composition for sealing a semiconductor, the composition being able to form a thin resin layer, suppress the diffusion of a metal component to a porous interlayer dielectric layer, and exhibit superior adherence with respect to an interconnection material. The composition for sealing a semiconductor contains a resin having two or more cationic functional groups and a weight-average molecular weight of from 2,000 to 100,000; contains sodium and potassium each in an amount based on element content of not more than 10 ppb by weight; and has a volume average particle diameter, measured by a dynamic light scattering method, of not more than 10 nm.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: November 6, 2012
    Assignee: Mitsui Chemicals, Inc.
    Inventors: Shoko Ono, Kazuo Kohmura
  • Publication number: 20120273851
    Abstract: A manufacturing method of a semiconductor device includes forming a structure comprising an interlayer dielectric layer on a substrate, an ultra-low-k material layer on the interlayer dielectric layer and a plug. The plug passes through the interlayer dielectric layer and the ultra-low-k material layer, and is formed of a first metal material. The method further includes removing an upper portion of the plug by etching to form a recessed portion, and filling the recessed portion with a second metal material. According to the method, contact-hole photolithography is performed only once, and thus avoids alignment issues that may occur when contact-hole photolithography needs to be performed twice.
    Type: Application
    Filed: February 16, 2012
    Publication date: November 1, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: ZHONGSHAN HONG
  • Publication number: 20120273952
    Abstract: Microelectronic chip including a semiconductor substrate; at least one area of its surface which is suitable to be electrically connected to a metal frame designed to accommodate the chip; at least one interconnect area formed by a copper-based conductive layer and comprising a connecting device, the interconnect area being connected to the area by a conductor, wherein the area is formed by a layer forming a copper diffusion barrier inserted between interconnect area and the substrate.
    Type: Application
    Filed: April 25, 2012
    Publication date: November 1, 2012
    Applicants: STMicroelectronics (Crolles 2) SAS, STMicroelectronics S.A.
    Inventors: Laurent Gay, Francois Guyader, Frederic Diette
  • Publication number: 20120273950
    Abstract: An integrated circuit structure including a copper-aluminum interconnect with a CuSiN layer and a method for fabricating the same are provided. The method for fabricating an integrated circuit structure including a copper-aluminum interconnect according to the present invention comprises the steps of providing a copper (Cu) layer; forming a barrier layer including a CuSiN layer on the copper layer; forming a wetting layer on the barrier layer; and forming an aluminum (Al) layer on the wetting layer.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Kuo Hui Su, Yi Nan Chen, Hsien Wen Liu
  • Publication number: 20120276737
    Abstract: A method for post-etching treatment of copper interconnecting wires that are used to electrically couple an upper interconnecting layer with a lower interconnecting layer includes forming the lower interconnecting layer on a substrate, and forming the upper interconnecting layer on the lower interconnecting layer. The lower interconnecting layer includes a first dielectric layer, a plurality of wire trenches formed in the first dielectric layer and being filled with copper, and a first top barrier layer overlying the first dielectric layer and the wire trenches. The upper interconnecting layer includes a second dielectric layer on the top barrier layer, and a plurality of vias extending through the second dielectric layer and the top barrier layer and exposing the copper in the wire trenches. The method further includes treating the exposed copper using a plasma process comprising NH3.
    Type: Application
    Filed: November 23, 2011
    Publication date: November 1, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: DONGJIANG WANG, Junqing Zhou, Haiyang Zhang
  • Patent number: 8298911
    Abstract: In a method of forming a wiring structure, a first insulation layer is formed on a substrate, the first insulation layer comprising a group of hydrocarbon (C?H?) wherein ? and ? are integers. A second insulation layer is formed on the first insulation layer, the second insulation layer being avoid of the group of hydrocarbon. A first opening is formed through the first and the second insulation layers by etching the first and the second insulation layers. A damaged pattern and a first insulation layer pattern are formed by performing a surface treatment on a portion of the first insulation layer corresponding to an inner sidewall of the first opening. A sacrificial spacer is formed in the first opening on the damaged pattern and on the second insulation layer. A conductive pattern is formed in the first opening.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: October 30, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kyoung-Woo Lee
  • Publication number: 20120270392
    Abstract: A fabricating method of an active device array substrate is provided. The active device array substrate has at least one patterned conductive layer. The patterned conductive layer includes a copper layer. A cross-section of the copper layer which is parallel to a normal line direction of the copper layer includes a first trapezoid and a second trapezoid stacked on the first trapezoid. A base angle of the first trapezoid and a base angle of the second trapezoid are acute angles, and a difference between the base angle of the first trapezoid and the base angle of the second trapezoid is from about 5° to about 30°.
    Type: Application
    Filed: June 29, 2012
    Publication date: October 25, 2012
    Applicant: AU OPTRONICS CORPORATION
    Inventors: Po-Lin Chen, Chih-Yuan Lin, Yu-Min Lin, Chun-Nan Lin
  • Publication number: 20120270391
    Abstract: Generally, the subject matter disclosed herein relates to conductive via elements, such as through-silicon vias (TSV's), and methods for forming the same. One illustrative method disclosed herein includes forming a layer of isolation material above a via opening formed in a semiconductor device, the via opening extending into a substrate of the semiconductor device. The method also includes performing a first planarization process to remove at least an upper portion of the layer of isolation material formed outside of the via opening, and forming a conductive via element inside of the via opening after performing the first planarization process.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 25, 2012
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE LTD
    Inventors: Chen Zengxiang, Zhao Feng, Liu Huang, Yuan Shaoning
  • Patent number: 8293637
    Abstract: A method of manufacturing a semiconductor device, includes burying a conductive pattern in an insulating film made of SiOH, SiCOH or organic polymer, treating surfaces of the insulating film and the conductive pattern with plasma which includes a hydrocarbon gas as a treatment gas, and forming a diffusion barrier film, which is formed of an SiCH film, an SiCHN film, an SiCHO film or an SiCHON film, over the insulating film and the conductive pattern with performing a plasma CVD by adding an Si-containing gas to the treatment gas while increasing the addition amount gradually or in a step-by-step manner.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: October 23, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 8294202
    Abstract: A semiconductor device structure, for improving the metal gate leakage within the semiconductor device. A structure for a metal gate electrode for a n-type Field Effect Transistor includes a capping layer; a first metal layer comprising Ti and Al over the capping layer; a metal oxide layer over the first metal layer; a barrier layer over the metal oxide layer; and a second metal layer over the barrier layer.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: October 23, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shiu-Ko Jangjian, Szu-An Wu, Sheng-Wen Chen
  • Publication number: 20120264291
    Abstract: Embodiments of the invention described herein generally provide methods and apparatuses for forming cobalt silicide layers, metallic cobalt layers, and other cobalt-containing materials. In one embodiment, a method for forming a cobalt silicide containing material on a substrate is provided which includes exposing a substrate to at least one preclean process to expose a silicon-containing surface, depositing a cobalt silicide material on the silicon-containing surface, depositing a metallic cobalt material on the cobalt silicide material, and depositing a metallic contact material on the substrate. In another embodiment, a method includes exposing a substrate to at least one preclean process to expose a silicon-containing surface, depositing a cobalt silicide material on the silicon-containing surface, expose the substrate to an annealing process, depositing a barrier material on the cobalt silicide material, and depositing a metallic contact material on the barrier material.
    Type: Application
    Filed: April 20, 2012
    Publication date: October 18, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Seshadri Ganguli, Schubert S. Chu, Mei Chang, Sang-Ho Yu, Kevin Moraes, See-Eng Phan
  • Publication number: 20120264290
    Abstract: Methods, apparatus, and systems for depositing copper and other metals are provided. In some implementations, a wafer substrate is provided to an apparatus. The wafer substrate has a surface with field regions and a feature. A copper layer is plated onto the surface of the wafer substrate. The copper layer is annealed to redistribute copper from regions of the wafer substrate to the feature. Implementations of the disclosed methods, apparatus, and systems allow for void-free bottom-up fill of features in a wafer substrate.
    Type: Application
    Filed: May 16, 2011
    Publication date: October 18, 2012
    Inventors: Jonathan D. REID, Huanfeng ZHU
  • Publication number: 20120264292
    Abstract: A redundant metal diffusion barrier is provided for an interconnect structure which improves the reliability and extendibility of the interconnect structure. The redundant metal diffusion barrier layer is located within an opening that is located within a dielectric material and it is between a diffusion barrier layer and a conductive material which are also present within the opening. The redundant diffusion barrier includes a single layered or multilayered structure comprising Ru and a Co-containing material including pure Co or a Co alloy including at least one of N, B and P.
    Type: Application
    Filed: June 21, 2012
    Publication date: October 18, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chih-Chao Yang, Thomas M. Shaw
  • Publication number: 20120261827
    Abstract: A semiconductor component includes a semiconductor substrate having a top surface. An opening extends from the top surface into the semiconductor substrate. The opening includes an interior surface. A first dielectric liner having a first compressive stress is disposed on the interior surface of the opening. A second dielectric liner having a tensile stress is disposed on the first dielectric liner. A third dielectric liner having a second compressive stress disposed on the second dielectric liner. A metal barrier layer is disposed on the third dielectric liner. A conductive material is disposed on the metal barrier layer and fills the opening.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Hua YU, Cheng-Hung CHANG, Ebin LIAO, Chia-Lin YU, Hsiang-Yi WANG, Chun Hua CHANG, Li-Hsien HUANG, Darryl KUO, Tsang-Jiuh WU, Wen-Chih CHIOU
  • Patent number: 8288275
    Abstract: Provided are methods of forming a contact plug of a semiconductor device. Methods of forming a contact plug of a semiconductor device may include forming an interlayer insulating layer on a semiconductor substrate on which a lower structure is formed, forming a contact hole in the interlayer insulating layer, the contact hole exposing the lower structure, and forming a W layer and then a WN layer to form a W/WN barrier layer in the contact hole. Methods may include H2 remote plasma treating the W/WN barrier layer, forming a W-plug on the H2 remote plasma treated W/WN barrier layer to fill the contact hole, and chemical mechanical polishing (CMP) the W-plug and then the W/WN barrier layer in order to expose the interlayer insulating layer.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: October 16, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-ho Park, Gil-heyun Choi, Sang-woo Lee, Jun-ho Park, Ho-ki Lee
  • Publication number: 20120258589
    Abstract: A method of fabricating a through-silicon via (TSV) structure forming a unique coaxial or triaxial interconnect within the silicon substrate. The TSV structure is provided with two or more independent electrical conductors insulated from another and from the substrate. The electrical conductors can be connected to different voltages or ground, making it possible to operate the TSV structure as a coaxial or triaxial device. Multiple layers using various insulator materials can be used as insulator, wherein the layers are selected based on dielectric properties, fill properties, interfacial adhesion, CTE match, and the like. The TSV structure overcomes defects in the outer insulation layer that may lead to leakage.
    Type: Application
    Filed: June 13, 2012
    Publication date: October 11, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Richard P. Volant, Mukta G. Farooq, Paul F. Findeis, Kevin S. Petrarca
  • Publication number: 20120258590
    Abstract: A method includes forming conductive material in a contact hole and a TSV opening, and then performing one step to remove portions of the conductive material outside the contact hole and the TSV opening to leave the conductive material in the contact hole and the TSV opening, thereby forming a contact plug and a TSV structure, respectively. In some embodiments, the removing step is performed by a CMP process.
    Type: Application
    Filed: June 19, 2012
    Publication date: October 11, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Fa CHEN, I-Ching LIN
  • Patent number: 8283237
    Abstract: A through-silicon via fabrication method comprises forming a substrate by bonding the front surface of a silicon plate to a carrier using an adhesive layer therebetween to expose the back surface of the silicon plate. A silicon nitride passivation layer is deposited on the exposed back surface of the silicon plate of the substrate. A plurality of through holes are etched in the silicon plate, the through holes comprising sidewalls and bottom walls. A metallic conductor is deposited in the through holes to form a plurality of through-silicon vias.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: October 9, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Nagarajan Rajagopalan, Ji Ae Park, Ryan Yamase, Shamik Patel, Thomas Nowak, Li-Qun Xia, Bok Hoen Kim, Ran Ding, Jim Baldino, Mehul Naik, Sesh Ramaswami
  • Publication number: 20120252206
    Abstract: Embodiments described herein generally provide methods for reducing undesired low-k damages during a damascene process using a sacrificial dielectric material and optionally a barrier/capping layer. In one embodiment, a damascene structure is formed through a sacrificial dielectric material deposited over a dielectric base layer. The damascene structure is filled with a suitable metal such as copper. The sacrificial dielectric material filled in trench areas between the copper damascene is then removed, followed by a barrier/cap layer which conformally or selectively covers exposed surfaces of the copper damascene structure. Ultra low-k dielectric materials may then fill the trench areas that were previously filled with sacrificial dielectric material. The invention prevents the ultra low-k material between the metal lines from exposing to various damaging processes during a damascene process such as etching, stripping, wet cleaning, pre-metal cleaning or CMP process.
    Type: Application
    Filed: June 30, 2011
    Publication date: October 4, 2012
    Applicant: Applied Materials, Inc.
    Inventors: MEHUL B. NAIK, Zhenjiang Cui
  • Publication number: 20120252207
    Abstract: Embodiments of the invention provide methods for forming materials on a substrate used for metal gate and other applications. In one embodiment, a method includes forming a cobalt stack over a barrier layer disposed on a substrate by depositing a cobalt layer during a deposition process, exposing the cobalt layer to a plasma to form a plasma-treated cobalt layer during a plasma process, and repeating the cobalt deposition process and the plasma process to form the cobalt stack containing a plurality of plasma-treated cobalt layers. The method further includes exposing the cobalt stack to an oxygen source gas to form a cobalt oxide layer from an upper portion of the cobalt stack during a surface oxidation process and heating the remaining portion of the cobalt stack to a temperature within a range from about 300° C. to about 500° C. to form a crystalline cobalt film during a thermal annealing crystallization process.
    Type: Application
    Filed: June 30, 2011
    Publication date: October 4, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Yu Lei, Xinyu Fu, Anantha Subramani, Seshadri Ganguli, Srinivas Gandikota
  • Publication number: 20120252209
    Abstract: A plasma nitriding method includes placing, in a processing chamber, a target object having a structure including a first portion containing a metal and a second portion containing silicon to expose surfaces of the first and the second portion; and performing a plasma process on the target object to selectively nitride the surface of the first portion such that a metal nitride film is selectively formed on the surface of the first portion. Further, the first portion contains tungsten, and a nitrogen-containing plasma is generated by supplying a nitrogen-containing gas into the processing chamber and setting an internal pressure of the processing chamber in a range from 133 Pa to 1333 Pa. The surface of the first portion is selectively nitrided without nitriding the surface of the second portion by the nitrogen-containing plasma such that a tungsten nitride film is formed on the surface of the first portion.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiro Kabe, Yoshihiro Sato
  • Publication number: 20120252208
    Abstract: A method of forming a metal interconnection of semiconductor device is provided. The method includes forming a low-k dielectric layer including an opening; forming a barrier metal pattern conformally covering a bottom surface and an inner sidewall of the opening; forming a metal pattern exposing a part of the inner sidewall of the barrier metal pattern in the opening; forming a metal capping layer on the top surfaces of the metal pattern and the low-k dielectric layer using a selective chemical vapor deposition process, wherein the thickness of the metal capping layer on the metal pattern is greater than the thickness of the metal capping layer on the low-k dielectric layer; and forming a metal capping pattern covering the top surface of the metal pattern by planarizing the metal capping layer down to the top surface of the low-k dielectric layer.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 4, 2012
    Inventors: WooJin Jang, KyoungWoo Lee
  • Publication number: 20120248608
    Abstract: Processes of forming an insulated wire into an interlayer dielectric layer (ILD) of a back-end metallization includes thermally treating a metallic barrier precursor under conditions to cause at least one alloying element in the barrier precursor to form a dielectric barrier between the wire and the ILD. The dielectric barrier is therefore a self-forming, self-aligned barrier. Thermal processing is done under conditions to cause the at least one alloying element to migrate from a zone of higher concentration thereof to a zone of lower concentration thereof to further form the dielectric barrier. Various apparatus are made by the process.
    Type: Application
    Filed: April 1, 2011
    Publication date: October 4, 2012
    Inventors: Hui Jae Yoo, Jeffery D. Bielefeld, Sean W. King, Sridhar Balakrishnan
  • Patent number: 8278211
    Abstract: According to the present invention, a thin film having a desired thickness is formed on an inner sidewall of a step with excellent step coverage in a film forming step and an etching step at least once, respectively. In an embodiment of the present invention, a target material is deposited on a substrate (17) having a concave step (31, 32) having an opening width or opening diameter of 3 ?m or less and an aspect ratio of 1 or more. At this time, a film forming method according to the present invention has a first step of depositing a thin film onto a bottom (33) of the step (31, 32) and a second step of forming a film on an inner sidewall (34) of the step (31, 32) by re-sputtering the thin film deposited on the bottom (33) and the pressure in a process chamber in the second step is set lower than that in the process chamber in the first step and the ratio of anode power to cathode power in the second step is set greater than the power ratio in the first step.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: October 2, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Hanako Hirayama, Eisaku Watanabe
  • Patent number: 8278754
    Abstract: A method includes forming a buffer lower metal line over a semiconductor substrate for absorbing an external impact, forming a pre-metal-dielectric layer which covers the buffer lower metal line, the pre-metal-dielectric layer having a via hole formed therein to expose a portion of the buffer lower metal line, forming a seed layer over a surface of the pre-metal-dielectric layer having the via hole formed therein, forming polyimide which exposes the via hole and the seed layer formed over the pre-metal-dielectric layer in the vicinity of the via hole, growing an upper metal line over the exposed seed layer, subjecting the semiconductor substrate having the upper metal line formed thereon to a thermal process, removing the polyimide by dry etching, and bonding a bonding portion onto the upper metal line.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: October 2, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Min-Seok Kim
  • Patent number: 8278210
    Abstract: In a modern 0.15 ?m power MOSFET, aluminum voids (voids formed in an aluminum-type electrode) are generated frequently in trench portions (source contact trenches) caused by the reduction of a cell pitch for refinement. It is considered to be attributable to the defects which are generated mainly due to a sudden increase of the aspect ratio from 0.84 in the previous generation to 2.8 in the current generation. Accordingly, concave portions of repetitive trenches having a high aspect ratio are filled with an aluminum-type metal by ionized sputtering throughout the processing, from the formation to the filling of an aluminum-type metal seed film.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: October 2, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tatsuhiko Miura
  • Patent number: 8278207
    Abstract: A semiconductor device can include an insulation layer on that is on a substrate on which a plurality of lower conductive structures are formed, where the insulation layer has an opening. A barrier layer is on a sidewall and a bottom of the opening of the insulation layer, where the barrier layer includes a first barrier layer in which a constituent of a first deoxidizing material is richer than a metal material in the first barrier layer and a second barrier layer in which a metal material in the second barrier layer is richer than a constituent of a second deoxidizing material. An interconnection is in the opening of which the sidewall and the bottom are covered with the barrier layer, the interconnection is electrically connected to the lower conductive structure.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: October 2, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Ho Park, Gil-Heyun Choi, Byung-Lyul Park, Jong-Myeong Lee, Zung-Sun Choi, Hye-Kyung Jung
  • Publication number: 20120244698
    Abstract: Embodiments of methods for forming Cu diffusion barriers for semiconductor interconnect structures are provided. The method includes oxidizing an exposed outer portion of a copper line that is disposed along a dielectric substrate to form a copper oxide layer. An oxide reducing metal is deposited onto the copper oxide layer. The copper oxide layer is reduced with at least a portion of the oxide reducing metal that oxidizes to form a metal oxide barrier layer. A dielectric cap is deposited over the metal oxide barrier layer.
    Type: Application
    Filed: March 25, 2011
    Publication date: September 27, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Errol Todd RYAN
  • Publication number: 20120244699
    Abstract: Embodiments of the invention provide a method for depositing tungsten-containing materials. In one embodiment, a method includes forming a tungsten nucleation layer over an underlayer disposed on the substrate while sequentially providing a tungsten precursor and a reducing gas into a process chamber during an atomic layer deposition (ALD) process and depositing a tungsten bulk layer over the tungsten nucleation layer, wherein the reducing gas contains hydrogen gas and a hydride compound (e.g., diborane) and has a hydrogen/hydride flow rate ratio of about 500:1 or greater. In some examples, the method includes flowing the hydrogen gas into the process chamber at a flow rate within a range from about 1 slm to about 20 slm and flowing a mixture of the hydride compound and a carrier gas into the process chamber at a flow rate within a range from about 50 sccm to about 500 sccm.
    Type: Application
    Filed: June 7, 2012
    Publication date: September 27, 2012
    Applicant: Applied Materials, Inc.
    Inventors: AMIT KHANDELWAL, Madhu Moorthy, Avgerinos V. Gelatos, Kai Wu
  • Publication number: 20120235299
    Abstract: A semiconductor contact structure and method provide contact structures that extend through a dielectric material and provide contact to multiple different subjacent materials including a silicide material and a non-silicide material such as doped silicon. The contact structures includes a lower composite layer formed using a multi-step ionized metal plasma (IMP) deposition operation. A lower IMP film is formed at a high AC bias power followed by the formation of an upper IMP film at a lower AC bias power. The composite layer may be formed of titanium. A further layer is formed as a liner over the composite layer and the liner layer may advantageously be formed using CVD and may be TiN. A conductive plug material such as tungsten or copper fills the contact openings.
    Type: Application
    Filed: March 16, 2011
    Publication date: September 20, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shih Chieh CHANG, Chih-Chung CHANG, Kei-Wei CHEN, Ying-Lang WANG
  • Publication number: 20120238091
    Abstract: The present disclosure provides various embodiments of a via structure and method of manufacturing same. In an example, a method for forming a via structure includes forming a via in a semiconductor substrate, wherein via sidewalls of the via are defined by the semiconductor substrate; forming a dielectric layer on the via sidewalls; removing the dielectric layer from a portion of the via sidewalls; and forming a conductive layer to fill the via, wherein the conductive layer is disposed over the dielectric layer and the portion of the via sidewalls. In an example, the dielectric layer is an oxide layer.
    Type: Application
    Filed: May 25, 2012
    Publication date: September 20, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yuan-Chih Hsieh, Richard Chu, Ming-Tung Wu, Martin Liu, Lan-Lin Chao, Chia-Shiung Tsai
  • Publication number: 20120228771
    Abstract: An interconnect structure and method for forming a multi-layered seed layer for semiconductor interconnections are disclosed. Specifically, the method and structure involves utilizing sequential catalytic chemical vapor deposition, which is followed by annealing, to form the multi-layered seed layer of an interconnect structure. The multi-layered seed layer will improve electromigration resistance, decrease void formation, and enhance reliability of ultra-large-scale integration (ULSI) chips.
    Type: Application
    Filed: March 10, 2011
    Publication date: September 13, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel C. Edelstein, Takeshi Nogami
  • Publication number: 20120231626
    Abstract: The invention provides a method of forming a film stack on a substrate, comprising performing a silicon containing gas soak process to form a silicon containing layer over the substrate, reacting with the silicon containing layer to form a tungsten silicide layer on the substrate, depositing a tungsten nitride layer on the substrate, subjecting the substrate to a nitridation treatment using active nitrogen species from a remote plasma, and depositing a conductive bulk layer directly on the tungsten nitride layer.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 13, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SANG-HYEOB LEE, Sang Ho Yu, Kai Wu
  • Publication number: 20120222732
    Abstract: A stacked structure may include semiconductors or semiconductor layers grown on an amorphous substrate. A light-emitting device and a solar cell may include the stacked structure including the semiconductors grown on the amorphous substrate. A method of manufacturing the stacked structure, and the light-emitting device and the solar cell including the stacked structure may involve growing a crystalline semiconductor layer on an amorphous substrate.
    Type: Application
    Filed: September 25, 2011
    Publication date: September 6, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Jun-hee CHOI
  • Publication number: 20120225553
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case, capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, can be used to form the masking layer. The capping layer can be formed of an conductive material, a semiconductor material, or an insulative material, and can be formed using any appropriate process, including conventional deposition processes such as electroless deposition, chemical vapor deposition, physical vapor deposition or atomic layer deposition.
    Type: Application
    Filed: April 27, 2012
    Publication date: September 6, 2012
    Applicant: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 8258058
    Abstract: A method of forming a semiconductor device is disclosed. A dielectric layer having a opening therein is formed on a semiconductor substrate. An inner surface of the opening is treated by plasma. A barrier metal layer is formed on the plasma-treated inner surface of the opening. A seed layer is formed on the barrier metal layer. A metal bulk layer is formed on the seed layer. High quality semiconductor devices can be fabricated by using these methods, which may stably fill the opening formed in the dielectric layer.
    Type: Grant
    Filed: March 5, 2012
    Date of Patent: September 4, 2012
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Tsukasa Matsuda, Gilheyun Choi, Jongmyeong Lee
  • Patent number: 8258626
    Abstract: A copper interconnection structure includes an insulating layer, an interconnection body including copper and a barrier layer surrounding the interconnection body. The barrier layer includes a first barrier layer formed between a first portion of the interconnection body and the insulating layer. The first portion of the interconnection body is part of the interconnection body that faces the insulating layer. The barrier layer also includes a second barrier layer formed on a second portion of the interconnection body. The second portion of the interconnection body is part of the interconnection body not facing the insulating layer. Each of the first and the second barrier layers is formed of an oxide layer including manganese, and each of the first and the second barrier layers has a position where the atomic concentration of manganese is maximized in their thickness direction of the first and the second barrier layers.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: September 4, 2012
    Assignee: Advanced Interconnect Materials, LLC
    Inventors: Junichi Koike, Akihiro Shibatomi
  • Publication number: 20120220121
    Abstract: In a film forming method for forming a Co film on a substrate provided in a processing chamber, gaseous Co4(CO)12 as a single film forming material is supplied into the processing chamber. Then, the gaseous Co4(CO)12 is thermally decomposed on the substrate to form the Co film on the substrate.
    Type: Application
    Filed: February 22, 2012
    Publication date: August 30, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuji AZUMO, Yasuhiko Kojima
  • Publication number: 20120220120
    Abstract: A method for fabricating a buried bit line in a semiconductor device includes forming a liner oxide layer over the entire surface of a substrate having bodies isolated by a trench, selectively etching the liner oxide layer contacted with one side surface of the trench to a given depth, forming a sacrifice layer at a larger height than an etched surface of the liner oxide layer wherein the sacrifice layer partially fills the trench to the larger height, forming a liner nitride layer on sidewalls of the trench over the sacrifice layer, removing the sacrifice layer to expose a part of a body at the one side surface of the trench, forming a barrier layer along the entire surface of the resultant structure including the liner oxide layer, and forming a buried bit line over the barrier layer to be contacted with the exposed part of the body.
    Type: Application
    Filed: December 21, 2011
    Publication date: August 30, 2012
    Inventor: Hee-Sung KANG
  • Patent number: 8252639
    Abstract: The present invention provides a thin film transistor array panel comprising: an insulating substrate; a gate line formed on the insulating substrate and having a gate electrode; a gate insulating layer formed on the gate line; a semiconductor formed on the gate insulating layer and overlapping the gate electrode; diffusion barriers formed on the semiconductor and containing nitrogen; a data line crossing the gate line and having a source electrode partially contacting the diffusion barriers; a drain electrode partially contacting the diffusion barriers and facing the source electrode on the gate electrode; and a pixel electrode electrically connected to the drain electrode.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: August 28, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-Hun Lee, Beom-Seok Cho, Chang-Oh Jeong, Joo-Han Kim
  • Patent number: 8252679
    Abstract: A semiconductor process is described. A substrate with at least one conductive region is provided, on which a dielectric layer is formed. An opening is formed in the dielectric layer, such that the conductive region is exposed. A first conductive layer is conformally formed on the surface of the opening. A first cleaning step is conducted using a first cleaning solution. A baking step is conducted after the first cleaning step. Afterwards, the opening is filled with a second conductive layer.
    Type: Grant
    Filed: February 10, 2010
    Date of Patent: August 28, 2012
    Assignee: United Microelectronics Corp.
    Inventor: An-Chi Liu
  • Patent number: 8252681
    Abstract: Methods of forming integrated circuit devices include forming an electrically conductive layer containing silicon on a substrate and forming a mask pattern on the electrically conductive layer. The electrically conductive layer is selectively etched to define a first sidewall thereon, using the mask pattern as an etching mask. The first sidewall of the electrically conductive layer may be exposed to a nitrogen plasma to thereby form a first silicon nitride layer on the first sidewall. The electrically conductive layer is then selectively etched again to expose a second sidewall thereon that is free of the first silicon nitride layer. The mask pattern may be used again as an etching mask during this second step of selectively etching the electrically conductive layer.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: August 28, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Do Ryu, Si-Young Choi, Yu-Gyun Shin, Tai-Su Park, Dong-Chan Kim, Jong-Ryeol Yoo, Seong-Hoon Jeong, Jong-Hoon Kang
  • Patent number: 8252690
    Abstract: A method of forming a seed layer of an interconnect structure includes forming a dielectric layer; forming an opening in the dielectric layer; performing a first deposition step to form the seed layer; and in-situ performing a first etch step to remove a portion of the seed layer. The method may further includes additional deposition and etch steps for forming the seed layer.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: August 28, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Li-Lin Su, Cheng-Lin Huang, Shing-Chyang Pan, Ching-Hua Hsieh
  • Publication number: 20120211890
    Abstract: A metal thin film forming method includes depositing a Ti film on an insulating film formed on a substrate and depositing a Co film on the Ti film. The film forming method further includes modifying a laminated film of the Ti film and the Co film on the insulating film to a metal thin film containing Co3Ti alloy by heating the laminated film in an inert gas atmosphere or a reduction gas atmosphere.
    Type: Application
    Filed: February 2, 2012
    Publication date: August 23, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuji AZUMO, Yasuhiko KOJIMA
  • Publication number: 20120205805
    Abstract: A semiconductor device includes a first interlayer dielectric layer formed over a semiconductor substrate, contact holes formed to penetrate the first interlayer dielectric layer, contact plugs formed within the contact holes, respectively, and spacers formed to partially cover upper sidewalk of the contact plugs within the contact holes.
    Type: Application
    Filed: February 15, 2012
    Publication date: August 16, 2012
    Inventor: Chan Sun HYUN
  • Publication number: 20120205793
    Abstract: A method of processing a microfeature workpiece generally includes depositing a first conducting layer, at least partially reducing oxides on the first conducting layer to provide a reduced first conducting layer, and exposing the reduced first conducting layer to a substantially oxygen-free environment to provide a passivated first conducting layer. A microfeature workpiece generally includes a first conducting layer, a monolayer directly on the first conducting layer, and a second conducting layer.
    Type: Application
    Filed: February 10, 2011
    Publication date: August 16, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Callie A. Schieffer, Ismail T. Emesh