At Least One Layer Forms A Diffusion Barrier Patents (Class 438/653)
  • Publication number: 20140011354
    Abstract: Embodiments of the invention provide methods for forming materials on a substrate used for metal gate and other applications. In one embodiment, a method includes forming a cobalt stack over a barrier layer disposed on a substrate by depositing a cobalt layer during a deposition process, exposing the cobalt layer to a plasma to form a plasma-treated cobalt layer during a plasma process, and repeating the cobalt deposition process and the plasma process to form the cobalt stack containing a plurality of plasma-treated cobalt layers. The method further includes exposing the cobalt stack to an oxygen source gas to form a cobalt oxide layer from an upper portion of the cobalt stack during a surface oxidation process and heating the remaining portion of the cobalt stack to a temperature within a range from about 300° C. to about 500° C. to form a crystalline cobalt film during a thermal annealing crystallization process.
    Type: Application
    Filed: August 1, 2013
    Publication date: January 9, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Yu LEI, Xinyu FU, Anantha SUBRAMANI, Seshadri GANGULI, Srinivas GANDIKOTA
  • Publication number: 20140008800
    Abstract: A method for manufacturing a through substrate via (TSV) structure, a TSV structure, and a control method of a TSV capacitance are provided. The method for manufacturing the TSV structure includes: providing a substrate having a first surface and a second surface; forming a trench in the first surface of the substrate; filling a low resistance material into the trench; forming an insulating layer on the first surface of the substrate; forming at least one opening in the first surface of the substrate, wherein the opening is located differently the trench; forming an oxide liner layer, a barrier layer and a conductive seed layer on a sidewall and a bottom of the opening and on the insulating layer of the first surface; and filling a conductive material into the opening, wherein the opening is used to form at least one via.
    Type: Application
    Filed: August 29, 2012
    Publication date: January 9, 2014
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Erh-Hao Chen, Cha-Hsin Lin, Tzu-Kun Ku
  • Patent number: 8623761
    Abstract: Interconnect structures including a graphene cap located on exposed surfaces of a copper structure are provided. In some embodiments, the graphene cap is located only atop the uppermost surface of the copper structure, while in other embodiments the graphene cap is located along vertical sidewalls and atop the uppermost surface of the copper structure. The copper structure is located within a dielectric material.
    Type: Grant
    Filed: September 6, 2012
    Date of Patent: January 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Christos D. Dimitrakopoulos, Alfred Grill, James B. Hannon, Qinghuang Lin, Deborah A. Neumayer, Satoshi Oida, John A. Ott, Dirk Pfeiffer
  • Publication number: 20140004698
    Abstract: Methods for making semiconductor devices are disclosed herein. A method configured in accordance with a particular embodiment includes forming a stop layer and a dielectric liner including dielectric material along sidewalls of openings, e.g., through-substrate openings, of the semiconductor device and excess dielectric material outside the openings. The method further includes forming a metal layer including metal plugs within the openings and excess metal. The excess metal and the excess dielectric material are simultaneously chemically-mechanically removed using a slurry including ceria and ammonium persulfate. The slurry is selected to cause selectivity for removing the excess dielectric material relative to the stop layer greater than about 5:1 as well as selectivity for removing the excess dielectric material relative to the excess metal from about 0.5:1 to about 1.5:1.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 2, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Wayne H. Huang, Anurag Jindal
  • Patent number: 8617985
    Abstract: Embodiments of the invention provide an improved process for depositing tungsten-containing materials. In one embodiment, the method for forming a tungsten-containing material on a substrate includes forming an adhesion layer containing titanium nitride on a dielectric layer disposed on a substrate, forming a tungsten nitride intermediate layer on the adhesion layer, wherein the tungsten nitride intermediate layer contains tungsten nitride and carbon. The method further includes forming a tungsten barrier layer (e.g., tungsten or tungsten-carbon material) from the tungsten nitride intermediate layer by thermal decomposition during a thermal annealing process (e.g., temperature from about 700° C. to less than 1,000° C.).
    Type: Grant
    Filed: October 25, 2012
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Joshua Collins, Murali K. Narasimhan, Jingjing Liu, Sang-Hyeob Lee, Kai Wu, Avgerinos V. Gelatos
  • Patent number: 8618661
    Abstract: A semiconductor die includes a substrate including a topside including circuit elements configured to provide a circuit function. The die includes at least one multi-layer structure including a first material having a first CTE, a second material including a metal having a second CTE, wherein the second CTE is higher than the first CTE. A coefficient of thermal expansion (CTE) graded layer includes at least a dielectric portion that is between the first material and the second material having a first side facing the first material and a second side facing the second material. The CTE graded layer includes a non-constant composition profile across its thickness that provides a graded CTE which increases in CTE from the first side to the second side. The multi-layer structure can be a through-substrate-vias (TSV) that extends through the thickness of the substrate.
    Type: Grant
    Filed: October 3, 2011
    Date of Patent: December 31, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Brian K. Kirkpatrick, Rajesh Tiwari
  • Publication number: 20130337645
    Abstract: A method of processing a substrate is provided. The method includes: providing a substrate, wherein the substrate includes a silicon layer; etching the substrate to form a cavity; filling a first conductor in part of the cavity; performing a first thermal treatment on the first conductor; filling a second conductor in the cavity to fill-up the cavity; and performing a second thermal treatment on the first conductor and the second conductor.
    Type: Application
    Filed: June 18, 2012
    Publication date: December 19, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Hsin-Yu Chen, Yu-Han Tsai, Chun-Ling Lin, Ching-Li Yang, Home-Been Cheng
  • Publication number: 20130334690
    Abstract: A semiconductor structure includes a work function metal layer, a (work function) metal oxide layer and a main electrode. The work function metal layer is located on a substrate. The (work function) metal oxide layer is located on the work function metal layer. The main electrode is located on the (work function) metal oxide layer. Moreover a semiconductor process forming said semiconductor structure is also provided.
    Type: Application
    Filed: June 13, 2012
    Publication date: December 19, 2013
    Inventors: Min-Chuan Tsai, Hsin-Fu Huang, Chi-Mao Hsu, Chin-Fu Lin, Chien-Hao Chen, Wei-Yu Chen, Chi-Yuan Sun, Ya-Hsueh Hsieh, Tsun-Min Cheng
  • Publication number: 20130334699
    Abstract: A semiconductor device includes a substrate with a front side and a back side, an ILD, disposed on the substrate, a cap layer disposed on the backside of the substrate, a TSV penetrating the cap layer, the substrate and the ILD, wherein a cap layer sidewall in the TSV juts out beyond the substrate sidewall the TSV with a predetermined distance, and a liner is disposed on the substrate sidewall, wherein the liner partially overlaps with the cap layer.
    Type: Application
    Filed: June 19, 2012
    Publication date: December 19, 2013
    Inventors: Chien-Li Kuo, Yung-Chang Lin
  • Publication number: 20130334691
    Abstract: A structure formed in an opening having a substantially vertical sidewall defined by a non-metallic material and having a substantially horizontal bottom defined by a conductive pad, the structure including a diffusion barrier covering the sidewall and a fill composed of conductive material.
    Type: Application
    Filed: June 18, 2012
    Publication date: December 19, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta G. Farooq, John A. Fitzsimmons, Troy L. Graves-Abe
  • Publication number: 20130328196
    Abstract: A method for fabricating a semiconductor device includes forming a first dielectric structure over a second region of a substrate to expose a first region of the substrate, forming a barrier layer over an entire surface including the first dielectric structure, forming a second dielectric structure over the barrier layer in the first region, forming first open parts and second open parts in the first region and the second region, respectively, by etching the second dielectric structure, the barrier layer and the first dielectric structure, forming first conductive patterns filled in the first open parts and second conductive patterns filled in the second open parts, forming a protective layer to cover the second region, and removing the second dielectric structure.
    Type: Application
    Filed: September 7, 2012
    Publication date: December 12, 2013
    Inventors: Jun-Hyeub Sun, Sang-Oh Lee, Su-Young Kim
  • Publication number: 20130320539
    Abstract: Methods and apparatus are disclosed for the back end of line process for fabrication of integrated circuits (ICs). The inter-metal dielectric (IMD) layer between two metal layers may comprise an etching stop layer over a metal layer, a low-k dielectric layer over the etching stop layer, a dielectric hard mask layer over the low-k dielectric layer, an nitrogen free anti-reflection layer (NFARL) over the dielectric hard mask layer, and a metal-hard-mask (MHM) layer of a thickness in a range from about 180 ? to about 360 ? over the NFARL. The MHM layer thickness is optimized at the range from about 180 ? to about 360 ? to reduce the Cu pits while avoiding the photo overlay shifting issue.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chih Wang, Wei-Rong Chen, Yao Hsiang Liang, Chen-Kuang Lien
  • Publication number: 20130320537
    Abstract: A through silicon via structure is located in a recess of a substrate. The through silicon via structure includes a barrier layer, a buffer layer and a conductive layer. The barrier layer covers a surface of the recess. The buffer layer covers the barrier layer. The conductive layer is located on the buffer layer and fills the recess, wherein the contact surface between the conductive layer and the buffer layer is smoother than the contact surface between the buffer layer and the barrier layer. Moreover, a through silicon via process forming said through silicon via structure is also provided.
    Type: Application
    Filed: May 30, 2012
    Publication date: December 5, 2013
    Inventors: Jia-Jia Chen, Chi-Mao Hsu, Tsun-Min Cheng, Chun-Ling Lin, Huei-Ru Tsai, Ching-Wei Hsu, Chin-Fu Lin, Hsin-Yu Chen
  • Publication number: 20130320505
    Abstract: A method for at least partially filling a feature on a workpiece includes obtaining a workpiece including a feature having a high aspect ratio in the range of about 10 to about 80, depositing a first conformal conductive layer in the feature, and thermally treating the workpiece to reflow the first conformal conductive layer in the feature.
    Type: Application
    Filed: March 13, 2013
    Publication date: December 5, 2013
    Applicant: APPLIED Materials, Inc.
    Inventors: Ismail T. Emesh, Robert C. Linke
  • Publication number: 20130320540
    Abstract: A semiconductor device includes a substrate, a conductive material, and a material layer. The substrate includes a through hole. The conductive material fills the through hole. The material layer is formed in the conductive material, wherein an electrical resistance of the conductive material is lower than an electrical resistance of the material layer.
    Type: Application
    Filed: June 4, 2012
    Publication date: December 5, 2013
    Applicant: Nanya Technology Corporation
    Inventors: Yu Shan CHIU, Wen Ping Liang
  • Publication number: 20130323883
    Abstract: A method includes forming an opening extending from a top surface of a silicon substrate into the silicon substrate to a predetermined depth. The method further includes forming an insulation structure on the silicon substrate along the sidewalls and the bottom of the opening and forming a conductive layer on the insulation structure to fill the opening. A first interface between the insulation structure and the silicon substrate has an interface roughness with a peak-to-valley height less than 5 nm, and a second interface between the insulation structure and the conductive layer has an interface roughness with a peak-to-valley height less than 5 nm.
    Type: Application
    Filed: August 6, 2013
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Hua YU, Wen-Chih CHIOU, Ebin LIAO, Tsang-Jiuh WU
  • Publication number: 20130320542
    Abstract: A method of fabricating a self-aligned buried bit line in a structure which makes up a portion of a vertical channel DRAM. The materials and processes used enable self-alignment of elements of the buried bit line during the fabrication process. In addition, the materials and processes used enable for formation of individual DRAM cells which have a buried bit line width which is 16 nm or less.
    Type: Application
    Filed: May 8, 2013
    Publication date: December 5, 2013
    Inventors: Chorng-Ping Chang, Bingxi Wood, Er-Xuan Ping
  • Patent number: 8598465
    Abstract: A wafer-scale assembly circuit including a plurality of metal interconnect layers, where each metal layer includes patterned metal portions and where at least some of the patterned metal portions are RF signal lines. The circuit further includes at least one benzocyclobutene layer provided between two metal interconnect layers that includes at least one trench via formed around a perimeter of the benzocyclobutene layer at a circuit sealing ring, where the trench via provides a hermetic seal at the sealing ring. The benzocyclobutene layer also includes a plurality of stabilizing post vias formed through the benzocyclobutene layer adjacent to the trench via proximate to the sealing ring and extending around the perimeter of the benzocyclobutene layer, where the stabilizing vias operate to prevent the benzocyclobutene layer from shrinking in size.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: December 3, 2013
    Assignee: Northrop Grumman Systems Corporation
    Inventors: David M. Eaves, Xiang Zeng, Kelly J. Hennig, Patty Pei-Ling Chang-Chien
  • Publication number: 20130316532
    Abstract: The present invention relates to producing semiconductor integrated circuits, and particularly relates to a method for forming a tungsten plug. The invention protects the dielectric layer from getting damaged and avoids impact from CMP technology on the RC of devices by using an APF as the barrier layer while grinding, to improve the yield of products.
    Type: Application
    Filed: December 6, 2012
    Publication date: November 28, 2013
    Applicant: Shanghai Huali Microelectronics Corporation
    Inventor: JUN ZHOU
  • Patent number: 8592306
    Abstract: A redundant metal diffusion barrier is provided for an interconnect structure which improves the reliability and extendibility of the interconnect structure. The redundant metal diffusion barrier layer is located within an opening that is located within a dielectric material and it is between a diffusion barrier layer and a conductive material which are also present within the opening. The redundant diffusion barrier includes a single layered or multilayered structure comprising Ru and a Co-containing material including pure Co or a Co alloy including at least one of N, B and P.
    Type: Grant
    Filed: June 21, 2012
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Thomas M. Shaw
  • Publication number: 20130309863
    Abstract: Disclosed herein are various methods of forming copper-based conductive structures on integrated circuit devices. In one example, the method includes forming a trench/via in a layer of insulating material, performing a deposition process to form an as-deposited copper-based seed layer above the layer of insulating material in the trench/via, wherein the copper-based seed layer has a first portion that is positioned above a bottom of the trench/via that is thicker than second portions of the copper seed layer that are positioned above sidewalls of the trench/via, performing an etching process on the as-deposited copper-based seed layer to substantially remove portions of the second portions of the as-deposited copper-based seed layer and performing an electroless deposition process to fill the trench/via with a copper-based material.
    Type: Application
    Filed: May 21, 2012
    Publication date: November 21, 2013
    Inventors: Sean X. Lin, Ming He, Xunyuan Zhang, Larry Zhao
  • Publication number: 20130309864
    Abstract: One or more embodiments relate to a method of forming a semiconductor structure, comprising: providing a workpiece; forming a barrier layer over the workpiece; forming a seed layer over the barrier layer; forming an inhibitor layer over the seed layer; removing a portion of said inhibitor layer to expose a portion of the seed layer; and selectively depositing a fill layer on the exposed seed layer.
    Type: Application
    Filed: November 8, 2012
    Publication date: November 21, 2013
    Inventors: Hans-Joachim BARTH, Mathias VAUPEL, Rainer STEINER, Werner ROBL, Jens POHL, Joern PLAGMANN, Gottfried BEER
  • Patent number: 8586976
    Abstract: There are provided an electrode foil which has both the functions of a supporting base material and a reflective electrode and also has a superior thermal conductivity and heat resistance; and an organic device using the same. The electrode foil comprises a metal foil; a diffusion prevention layer for preventing diffusion of metal derived from the metal foil, the diffusion prevention layer being provided directly on the metal foil; and a reflective layer provided directly on the diffusion prevention layer.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: November 19, 2013
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Yoshinori Matsuura, Nozomu Kitajima
  • Patent number: 8586472
    Abstract: A semiconductor device and method are disclosed. The semiconductor device includes a substrate having a first region and a second region and an insulating layer arranged on the substrate. A first conductive layer is arranged in or on insulating layer in the first region and a second conductive layer is arranged in or on the insulating layer in the second region. The first conductive layer comprises a first conductive material and the second conductive layer comprises a second conductive material wherein the first conductive material is different than the second conductive material. A metal layer is arranged on the first conductive layer.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: November 19, 2013
    Assignee: Infineon Technologies AG
    Inventors: Roland Hampp, Thomas Fischer, Uwe Hoeckele
  • Patent number: 8586474
    Abstract: A method for forming a via, comprising (a) providing a structure comprising a mask (210) disposed on a semiconductor substrate (203), wherein the structure has an opening (215) defined therein which extends through the mask and into the substrate, and wherein the mask comprises a first electrically conductive layer; (b) depositing a second electrically conductive layer (219) such that the second conductive layer is in electrical contact with the first conductive layer, the second conductive layer having a first portion which extends over the surfaces of the opening and a second portion which extends over a portion of the mask adjacent to the opening; (c) removing the second portion of the second conductive layer; and (d) depositing a first metal (221) over the first portion of the second conductive layer.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: November 19, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ritwik Chatterjee, Eddie Acosta, Sam S. Garcia, Varughese Mathew
  • Patent number: 8586473
    Abstract: Methods for fabricating integrated circuits are provided. In an embodiment, a method for fabricating an integrated circuit includes depositing a dielectric layer defining a plane. In the method, the dielectric layer is etched to form trenches. Then, a ruthenium-containing liner layer is deposited overlying the dielectric layer. The trenches are filled with copper-containing metal. The method includes recessing the copper-containing metal in each trench to form a space between the copper-containing metal and the plane. The space is filled with a capping layer. The layers are then planarized to at least the plane.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: November 19, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Kunaljeet Tanwar, Xunyuan Zhang, Ming He
  • Patent number: 8586133
    Abstract: A method is provided which includes forming a metal layer and converting at least a portion of the metal layer to a hydrated metal oxide layer. Another method is provided which includes selectively depositing a dielectric layer upon another dielectric layer and selectively depositing a metal layer adjacent to the dielectric layer. Consequently, a microelectronic topography is formed which includes a metal feature and an adjacent dielectric portion comprising lower and upper layers of hydrophilic and hydrophobic material, respectively. A topography including a metal feature having a single layer with at least four elements lining a lower surface and sidewalls of the metal feature is also provided herein. The fluid/s used to form such a single layer may be analyzed by test equipment configured to measure the concentration of all four elements. In some cases, the composition of the fluid/s may be adjusted based upon the analysis.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: November 19, 2013
    Assignee: Lam Research Corporation
    Inventors: Igor C. Ivanov, Weiguo Zhang, Artur Kolics
  • Publication number: 20130302979
    Abstract: A method of making a semiconductor device, the method includes forming a first opening and a second opening in a substrate. The method further includes forming a conductive material in the first opening and in the second opening, the conductive material comprising a joined portion where the conductive material in the first opening and the conductive material in the second opening are electrically and thermally connected together at a first surface of the substrate. The method further includes reducing a thickness of the substrate from a second surface of the substrate, opposite the first surface, to expose the conductive material in the first opening and the conductive material in the second opening. The method further includes connecting a device to the second surface of the substrate.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventors: Chen-Hua YU, Hung-Pin CHANG, Yung-Chi LIN, Chia-Lin YU, Jui-Pin HUNG, Chien Ling HWANG
  • Publication number: 20130299994
    Abstract: Integrated circuits and processes for forming integrated circuits are provided. An exemplary process for forming an integrated circuit includes providing a substrate including an oxide layer and a protecting layer disposed over the oxide layer. A recess is etched through the protecting layer and at least partially into the oxide layer. A barrier material is deposited in the recess to form a barrier layer over the oxide layer and protecting layer in the recess. Electrically-conductive material is deposited over the barrier layer in the recess to form the embedded electrical interconnect. The embedded electrical interconnect and barrier layer are recessed to an interconnect recess depth and a barrier recess depth, respectively, within the substrate. At least a portion of the protecting layer remains over the oxide layer after recessing the barrier layer and is removed after recessing the barrier layer.
    Type: Application
    Filed: May 8, 2012
    Publication date: November 14, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Chanro Park, Errol T. Ryan
  • Publication number: 20130299988
    Abstract: Interconnect structures including a graphene cap located on exposed surfaces of a copper structure are provided. In some embodiments, the graphene cap is located only atop the uppermost surface of the copper structure, while in other embodiments the graphene cap is located along vertical sidewalls and atop the uppermost surface of the copper structure. The copper structure is located within a dielectric material.
    Type: Application
    Filed: May 10, 2012
    Publication date: November 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Griselda Bonilla, Christos D. Dimitrakopoulos, Alfred Grill, James B. Hannon, Qinghuang Lin, Deborah A. Neumayer, Satoshi Oida, John A. Ott, Dirk Pfeiffer
  • Publication number: 20130299949
    Abstract: The present invention relates to a through silicon via (TSV). The TSV is disposed in a substrate including a via opening penetrating through a first surface and a second surface of the substrate. The TSV includes an insulation layer, a barrier layer, a buffer layer and a conductive electrode. The insulation layer is disposed on a surface of the via opening. The barrier layer is disposed on a surface of the insulation layer. The buffer layer is disposed on a surface of the barrier layer. The conductive electrode is disposed on a surface of the buffer layer and a remainder of the via opening is completely filled with the conductive electrode. A portion of the buffer layer further covers a surface of the conductive electrode at a side of the second surface and said portion is level with the second surface.
    Type: Application
    Filed: July 22, 2013
    Publication date: November 14, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Kuo-Hsiung Huang, Chun-Mao Chiou, Hsin-Yu Chen, Yu-Han Tsai, Ching-Li Yang, Home-Been Cheng
  • Patent number: 8580682
    Abstract: A device includes a substrate having a first surface, and a second surface opposite the first surface. A through-substrate via (TSV) extends from the first surface to the second surface of the substrate. A dielectric layer is disposed over the substrate. A metal pad is disposed in the dielectric layer and physically contacting the TSV, wherein the metal pad and the TSV are formed of a same material, and wherein no layer formed of a material different from the same material is between and spacing the TSV and the metal pad apart from each other.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: November 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ku-Feng Yang, Yung-Chi Lin, Hung-Pin Chang, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 8580354
    Abstract: A plasma processing chamber particularly useful for pre-treating low-k dielectric films and refractory metal films subject to oxidation prior to deposition of other layers. A remote plasma source (RPS) excites a processing gas into a plasma and delivers it through a supply tube to a manifold in back of a showerhead faceplate. The chamber is configured for oxidizing and reducing plasmas in the same or different processes when oxygen and hydrogen are selectively supplied to the RPS. The supply tube and showerhead may be formed of dielectric oxides which may be passivated by a water vapor plasma from the remote plasma source. In one novel process, a protective hydroxide coating is formed on refractory metals by alternating neutral plasmas of hydrogen and oxygen.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: November 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Jick M. Yu
  • Patent number: 8580679
    Abstract: Methods, techniques, and structures relating to die packaging. In one exemplary implementation, a die package interconnect structure includes a semiconductor substrate and a first conducting layer in contact with the semiconductor substrate. The first conducting layer may include a base layer metal. The base layer metal may include Cu. The exemplary implementation may also include a diffusion barrier in contact with the first conducting layer and a wetting layer on top of the diffusion barrier. A bump layer may reside on top of the wetting layer, in which the bump layer may include Sn, and Sn may be electroplated. The diffusion barrier may be electroless and may be adapted to prevent Cu and Sn from diffusing through the diffusion barrier. Furthermore, the diffusion barrier may be further adapted to suppress a whisker-type formation in the bump layer.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: November 12, 2013
    Assignee: Intel Corporation
    Inventors: Valery M. Dubin, Sridhar Balakrishnan, Mark Bohr
  • Patent number: 8580688
    Abstract: A copper interconnection structure includes an insulating layer, an interconnection body including copper in an opening provided on the insulating layer, and a diffusion barrier layer formed between the insulating layer and the interconnection body. The diffusion barrier layer includes an oxide layer including manganese having a compositional ratio of oxygen to manganese (y/x) less than 2.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: November 12, 2013
    Assignee: Advanced Interconect Materials, LLC
    Inventors: Junichi Koike, Akihiro Shibatomi
  • Patent number: 8575027
    Abstract: Provided are methods and systems for forming discreet multilayered structures. Each structure may be deposited by in situ deposition of multiple layers at one of multiple site isolation regions provided on the same substrate for use in combinatorial processing. Alignment of different layers within each structure is provided by using two or more differently sized openings in-between one or more sputtering targets and substrate. Specifically, deposition of a first layer is performed through the first opening that defines a first deposition area. A shutter having a second smaller opening is then positioned in-between the one or more targets and substrate. Sputtering of a second layer is then performed through this second opening that defines a second deposition area. This second deposition area may be located within the first deposition area based on sizing and alignment of the openings as well as alignment of the substrate.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: November 5, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Sean Barstow, Owen Fong
  • Publication number: 20130285244
    Abstract: A system and method are disclosed for providing a through silicon via (TSV) with a barrier pad deposited below the top surface of the TSV, the top surface having reduced topographic variations. A bottom TSV pad is deposited into a via and then polished so the top surface is below the substrate top surface. A barrier pad is then deposited in the via, and a top TSV pad deposited on the barrier pad. The top TSV barrier pad is polished to bring the top surface of the top TSV pad about level with the substrate. The barrier pad may be less than about 1 microns thick, and the top TSV pad may be less than about 6 microns thick. The barrier pad may be a dissimilar metal from the top and bottom TSV pads, and may be selected from a group comprising titanium, tantalum, cobalt, nickel and the like.
    Type: Application
    Filed: April 27, 2012
    Publication date: October 31, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yung-Chi Lin, Wen-Chih Chiou, Yen-Hung Chen, Sylvia Lo, Jing-Cheng Lin
  • Patent number: 8563428
    Abstract: Methods of depositing metal in high aspect ratio features are provided herein. In some embodiments, a method of processing a substrate includes applying RF power at VHF frequency to a target comprising metal disposed in the PVD chamber above the substrate to form a plasma from a plasma-forming gas, sputtering metal atoms from the target using the plasma while maintaining a first pressure in the PVD chamber sufficient to ionize a predominant portion of the sputtered metal atoms, depositing the ionized metal atoms on a bottom surface of the opening and on a first surface of the substrate, applying a first RF power to redistribute at least some of the deposited metal atoms from the bottom surface and upper surface to sidewalls of the opening, and repeating the deposition the redistribution processes until a first layer of metal is deposited on substantially all surfaces of the opening.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: October 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Karl Brown, Alan Ritchie, John Pipitone, Ying Rui, Daniel J. Hoffman
  • Publication number: 20130270712
    Abstract: A through silicon via structure and a method of fabricating the through silicon via structure are disclosed. After an interlayer dielectric is formed, a via hole is then formed to pass through the interlayer dielectric; thereafter, a dielectric liner is formed within the via hole and extends onto the interlayer dielectric; thereafter, the via hole is filled with a conductive material; and a chemical-mechanical polishing process is performed to planarize the conductive material, using the dielectric liner on the interlayer dielectric as a stop layer of the chemical-mechanical polishing process.
    Type: Application
    Filed: April 16, 2012
    Publication date: October 17, 2013
    Inventors: Hsin-Yu Chen, Yu-Han Tsai, Ching-Li Yang, Home-Been Cheng
  • Publication number: 20130270702
    Abstract: A copper interconnect structure in a semiconductor device including an opening formed in a dielectric layer of the semiconductor device, the opening having sidewalls and a bottom. A first barrier layer is conformally deposited on the sidewalls and the bottom of the opening. A first seed layer is conformally deposited on the first barrier layer. A second barrier layer is conformally deposited on the first seed layer. A second seed layer is conformally deposited on the second barrier layer and a conductive plug is deposited in the opening of the dielectric layer.
    Type: Application
    Filed: August 15, 2012
    Publication date: October 17, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPAY, LTD.
    Inventors: Chen-Hua YU, Shau-Lin SHUE, Hsiang-Huan LEE, Ching-Fu YEH
  • Publication number: 20130270703
    Abstract: Techniques are disclosed that enable interconnects, vias, metal gates, and other conductive features that can be formed through electroless material deposition techniques. In some embodiments, the techniques employ electroless fill in conjunction with high growth rate selectivity between an electroless nucleation material (ENM) and electroless suppression material (ESM) to generate bottom-up or otherwise desired fill pattern of such features. Suitable ENM may be present in the underlying or otherwise existing structure, or may be provided. The ESM is provisioned so as to prevent or otherwise inhibit nucleation at the ESM covered areas of the feature which in turn prevents or otherwise slows down the rate of electroless growth on those areas. As such, the electroless growth rate on the ENM sites is higher than the electroless growth rate on the ESM sites.
    Type: Application
    Filed: December 21, 2011
    Publication date: October 17, 2013
    Inventors: Daniel J. Zierath, Shaestagir Chowdhury, Chi-Hwa Tsang
  • Publication number: 20130273736
    Abstract: A method for fabricating metal-oxide semiconductor (MOS) transistor is disclosed. The method includes the steps of: providing a semiconductor substrate having a silicide thereon; performing a first rapid thermal process to drive-in platinum from a surface of the silicide into the silicide; and removing un-reacted platinum in the first rapid thermal process.
    Type: Application
    Filed: June 10, 2013
    Publication date: October 17, 2013
    Inventors: Kuo-Chih Lai, Nien-Ting Ho, Shu Min Huang, Bor-Shyang Liao, Chia Chang Hsu
  • Publication number: 20130273735
    Abstract: A method of forming an integrated circuit structure includes providing a substrate; forming a metal feature over the substrate; forming a dielectric layer over the metal feature; and forming an opening in the dielectric layer. At least a portion of the metal feature is exposed through the opening. An oxide layer is accordingly formed on an exposed portion of the metal feature. The method further includes, in a production tool having a vacuum environment, performing a plasma process to remove the oxide layer. Between the step of forming the opening and the oxide-removal process, no additional oxide-removal process is performed to the metal feature outside the production tool.
    Type: Application
    Filed: June 7, 2013
    Publication date: October 17, 2013
    Inventors: Yu-Sheng Wang, Shih-Ho Lin, Kei-Wei Chen, Szu-An Wu, Ying-Lang Wang
  • Publication number: 20130264620
    Abstract: A method of forming a barrier/liner for ferroelectric memory capacitors includes chemical vapor depositing 15 to 40 A of a first layer including a refractory metal nitride over a substrate having a plurality of metal-oxide-semiconductor (MOS) gate structures, ferroelectric memory (FeRAM) capacitors, and vias in a dielectric layer overlying the substrate. The first layer is treated using a first plasma treatment including exposing the first layer to a plasma in an atmosphere substantially-free of hydrogen. A 15 to 40 A thick second refractory metal nitride layer is chemical vapor deposited of over the first layer. The second layer is treated using a second plasma treatment including exposing the second layer to a plasma in an atmosphere substantially-free of hydrogen.
    Type: Application
    Filed: April 6, 2012
    Publication date: October 10, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Zhiyi Yu, Ollen Harvey Mullis, John Paul Campbell
  • Patent number: 8551879
    Abstract: A semiconductor device includes a first conductor formed over a semiconductor device; an insulation film formed over the semiconductor substrate and the first conductor and having an opening arriving at the first conductor; a first film formed in the opening and formed of a compound containing Zr; a second film formed over the first film in the opening and formed of an oxide containing Mn; and a second conductor buried in the opening and containing Cu.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: October 8, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Noboyuki Ohtsuka, Noriyoshi Shimizu
  • Publication number: 20130260554
    Abstract: Provided are a semiconductor device and a method of manufacturing the semiconductor device. The semiconductor device includes a charge storage pattern formed on a substrate; a dielectric pattern formed on the charge storage pattern; a first conductive pattern including silicon doped with a first impurity of a first concentration, the first conductive pattern being disposed on the dielectric pattern; and a second conductive pattern including metal silicide doped with a second impurity of a second concentration, the second conductive pattern being disposed on the first conductive pattern. The first concentration may be higher than the second concentration.
    Type: Application
    Filed: May 30, 2013
    Publication date: October 3, 2013
    Inventors: Jeeyong Kim, Woonkyung Lee, Sunggil Kim, Jin-Kyu Kang, Jung-Hwan Lee, Bonyoung Koo, Kihyun Hwang, Byoungsun Ju, Jintae Noh
  • Publication number: 20130249096
    Abstract: A method for forming a through silicon via (TSV) in a substrate comprising: depositing a seed layer in a TSV hole; and annealing the seed layer.
    Type: Application
    Filed: August 21, 2012
    Publication date: September 26, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Mona Eissa, Nicholas S. Dellas, Brian E. Goodlin
  • Patent number: 8541303
    Abstract: A method for fabricating metal-oxide semiconductor (MOS) transistor is disclosed. The method includes the steps of: providing a semiconductor substrate having a silicide thereon; performing a first rapid thermal process to drive-in platinum from a surface of the silicide into the silicide; and removing un-reacted platinum in the first rapid thermal process.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: September 24, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Chih Lai, Nien-Ting Ho, Shu Min Huang, Bor-Shyang Liao, Chia Chang Hsu
  • Publication number: 20130241001
    Abstract: A method for fabricating a semiconductor device is described. A substrate having thereon a polysilicon resistor is provided. A dielectric layer is formed over the substrate covering the polysilicon resistor. The dielectric layer is etched to form a contact opening over the polysilicon resistor, with overetching into the polysilicon resistor. A metal silicide layer is formed on the polysilicon resistor in the contact opening. A metal material is filled in the contact opening. A portion of the dielectric layer, the metal material, and a portion of the polysilicon resistor are removed to expose the metal silicide layer. A metal contact is formed over the metal silicide layer.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chien-Yang Chen, Chen-Hua Tsai, Shih-Fang Hong, Po-Chao Tsao, Ming-Te Wei
  • Publication number: 20130244421
    Abstract: Disclosed herein are various methods of forming copper-based conductive structures on integrated circuit devices. In one example, the method includes the steps of forming a trench/via in a layer of insulating material, forming a copper-based seed layer above the layer of insulating material and in the trench/via, performing a heating process on the copper-based seed layer to increase an amount of the copper-based seed layer positioned proximate a bottom of the trench/via, performing an etching process on said copper-based seed layer and performing an electroless copper deposition process to fill the trench/via with a copper-based material.
    Type: Application
    Filed: March 16, 2012
    Publication date: September 19, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Sean X. LIN, Ming HE, Xunyuan ZHANG, Larry ZHAO