At Least One Layer Forms A Diffusion Barrier Patents (Class 438/653)
  • Patent number: 8461684
    Abstract: An interconnect structure for integrated circuits incorporates a layer of cobalt nitride that facilitates the nucleation, growth and adhesion of copper wires. The cobalt nitride may deposited on a refractory metal nitride or carbide layer, such as tungsten nitride or tantalum nitride, that serves as a diffusion barrier for copper and also increases the adhesion between the cobalt nitride and the underlying insulator. The cobalt nitride may be formed by chemical vapor deposition from a novel cobalt amidinate precursor. Copper layers deposited on the cobalt nitride show high electrical conductivity and can serve as seed layers for electrochemical deposition of copper conductors for microelectronics.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: June 11, 2013
    Assignee: President and Fellows of Harvard College
    Inventors: Roy Gerald Gordon, Hoon Kim, Harish Bhandari
  • Publication number: 20130140698
    Abstract: Described are doped TaN films, as well as methods for providing the doped TaN films. Doping TaN films with Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti and/or V allows for enhanced copper barrier properties of the TaN films. Also described are methods of providing films with a first layer comprising doped TaN and a second layer comprising one or more of Ru and Co, with optional doping of the second layer.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 6, 2013
    Inventors: Annamalai Lakshmanan, Paul F. Ma, Mei Chang, Jennifer Shan
  • Publication number: 20130140685
    Abstract: The electronic device includes a carrier, a semiconductor substrate attached to the carrier, and a layer system disposed between the semiconductor substrate and the carrier. The layer system includes an electrical contact layer disposed on the semiconductor substrate. A functional layer is disposed on the electrical contact layer. An adhesion layer is disposed on the functional layer. A solder layer is disposed between the adhesion layer and the carrier.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: Infineon Technologies AG
    Inventors: Alexander Heinrich, Michael Juerss, Konrad Roesl, Oliver Eichinger, Kok Chai Goh, Tobias Schmidt
  • Publication number: 20130140700
    Abstract: Provided is a method of manufacturing a TSV structure, which prevents a substrate from warping even if it is made thin. A method of manufacturing a semiconductor device comprises integrating semiconductor elements on a surface of a semiconductor substrate to form at least a part of a circuit, forming holes from the surface of the semiconductor substrate, forming an insulating film and a barrier film on an inner surface of each hole, forming a conductive metal on a surface of the barrier film to fill each hole, processing a back surface of the semiconductor substrate to reduce the thickness thereof to thereby protrude the conductive metal, and providing a SiCN film on the back surface of the semiconductor substrate.
    Type: Application
    Filed: August 4, 2011
    Publication date: June 6, 2013
    Applicant: NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventor: Tadahiro Ohmi
  • Publication number: 20130134429
    Abstract: A thin-film transistor according to the present disclosure includes: a substrate; a gate electrode above the substrate; a gate insulating layer on the gate electrode; a channel layer on the gate insulating layer which is located on the gate electrode; a source electrode above the channel layer; a drain electrode above the channel layer; and a barrier layer between the channel layer and the source electrode and between the channel layer and the drain electrode. Each of the source electrode and the drain electrode is made of a metal including copper, and the barrier layer contains nitrogen and molybdenum and has a density greater than 7.5 g/cm3 and less than 10.5 g/cm3.
    Type: Application
    Filed: July 24, 2012
    Publication date: May 30, 2013
    Applicant: PANASONIC CORPORATION
    Inventor: Tatsuya YAMADA
  • Patent number: 8449731
    Abstract: Local plasma density, e.g., the plasma density in the vicinity of the substrate, is increased by providing an ion extractor configured to transfer ions and electrons from a first region of magnetically confined plasma (typically a region of higher density plasma) to a second region of plasma (typically a region of lower density plasma). The second region of plasma is preferably also magnetically shaped or confined and resides between the first region of plasma and the substrate. A positively biased conductive member positioned proximate the second region of plasma serves as an ion extractor. A positive bias of about 50-300 V is applied to the ion extractor causing electrons and subsequently ions to be transferred from the first region of plasma to the vicinity of the substrate, thereby forming higher density plasma. Provided methods and apparatus are used for deposition and resputtering.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: May 28, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Anshu A. Pradhan, Douglas B. Hayden, Ronald L. Kinder, Alexander Dulkin
  • Publication number: 20130127055
    Abstract: The mechanisms of forming an interconnect structures described above involves using a reflowed conductive layer. The reflowed conductive layer is thicker in smaller openings than in wider openings. The mechanisms may further involve forming a metal cap layer over the reflow conductive layer, in some embodiments. The interconnect structures formed by the mechanisms described have better electrical and reliability performance.
    Type: Application
    Filed: March 29, 2012
    Publication date: May 23, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chien-An CHEN, Wen-Jiun LIU, Chun-Chieh LIN, Hung-Wen SU, Ming-Hsing TSAI, Syun-Ming JANG
  • Publication number: 20130119545
    Abstract: A semiconductor device and a method for forming the same are disclosed, which can protect a polysilicon layer of a bit line contact plug even when a critical dimension (CD) of the bit line is reduced by a fabrication change, thereby preventing defective resistivity caused by a damaged bit line contact plug from being generated. The semiconductor device includes one or more interlayer insulation film patterns formed over a semiconductor substrate, a bit line contact plug formed over the semiconductor substrate between the interlayer insulation films, and located below a top part of the interlayer insulation film pattern, and a bit line formed over the bit line contact plug.
    Type: Application
    Filed: January 10, 2012
    Publication date: May 16, 2013
    Applicant: Hynix Semiconductor Inc.
    Inventor: Un Hee LEE
  • Publication number: 20130119546
    Abstract: The method of the present invention comprises forming a word line crossing with an active region on a semiconductor substrate; forming a diffusion layer region; forming a first insulating film as high as a bit line to be formed; etching the first insulating film, while using, as a mask, a pattern having a linear aperture extending to the active region on the first insulating film so as to form a groove pattern for exposing the surface of the semiconductor substrate; embedding a conductive film in the groove pattern; forming a mask pattern passing over a portion, in which a bit contact is formed, on the first insulating film; and removing the first insulating film and the conductive layer until the upper layer insulating film of the word line is exposed, while using the mask pattern as a mask so as to isolate a bit contact from another contact.
    Type: Application
    Filed: May 3, 2012
    Publication date: May 16, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Hiromitu OSHIMA
  • Patent number: 8440564
    Abstract: A method of forming a semiconductor structure includes providing a substrate; forming a low-k dielectric layer over the substrate; embedding a conductive wiring into the low-k dielectric layer; and thermal soaking the conductive wiring in a carbon-containing silane-based chemical to form a barrier layer on the conductive wiring. A lining barrier layer is formed in the opening for embedding the conductive wiring. The lining barrier layer may comprise same materials as the barrier layer, and the lining barrier layer may be recessed before forming the barrier layer and may contain a metal that can be silicided.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: May 14, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Hai-Ching Chen, Tien-I Bao
  • Patent number: 8440562
    Abstract: A semiconductor structure and methods of forming the same are provided. The semiconductor structure includes a semiconductor substrate; a first dielectric layer over the semiconductor substrate; a conductive wiring in the first dielectric layer; and a copper germanide nitride layer over the conductive wiring.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: May 14, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 8440560
    Abstract: A method for fabricating a tungsten (W) line includes forming a silicon-containing layer, forming a diffusion barrier layer over the silicon-containing layer, forming a tungsten layer over the diffusion barrier layer, and performing a thermal treatment process on the tungsten layer to increase a grain size of the tungsten layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: May 14, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Min-Gyu Sung, Heung-Jae Cho, Kwan-Yong Lim
  • Patent number: 8440563
    Abstract: Provided is a film-forming method for performing a film-forming process on a surface of a target substrate to be processed in an evacuable processing chamber, a recessed portion being formed on the surface of the target substrate. The method includes a transition metal-containing film processing process in which a transition metal-containing film is formed by a heat treatment by using a source gas containing a transition metal; and a metal film forming process in which a metal film containing an element of the group VIII of the periodic table is formed.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: May 14, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Matsumoto, Yasushi Mizusawa
  • Patent number: 8435887
    Abstract: Disclosed is a method which includes forming a copper interconnect within a trench or via in a substrate. Forming the copper interconnect includes forming a ruthenium-containing seed layer on a wall of the trench or via; forming a cobalt sacrificial layer on the ruthenium-containing layer before the ruthenium-containing seed layer being exposed to an environment that is oxidizing with respect to the seed layer; and contacting the cobalt sacrificial layer with a copper plating solution, the copper plating solution dissolving the cobalt sacrificial layer and plating out copper on the unoxidized ruthenium-containing seed layer. Alternatively, the ruthenium-containing seed layer may be replaced with platinum, tungsten nitride, titanium nitride or titanium or iridium. Further alternatively, the cobalt sacrificial layer may be replaced by tin, cadmium, copper or manganese.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: May 7, 2013
    Assignees: International Business Machines Corporation, Kabushiki Kaisha Toshiba
    Inventors: James J. Kelly, Takeshi Nogami, Kazumichi Tsumura
  • Patent number: 8435888
    Abstract: A semiconductor device includes a semiconductor substrate; a metal electrode wiring laminate on the semiconductor substrate, the metal electrode wiring laminate being patterned with a predetermined wiring pattern; the metal electrode wiring laminate including an undercoating barrier metal laminate and aluminum or aluminum alloy film on the undercoating barrier metal laminate; and organic passivation film covering the metal electrode wiring laminate, wherein the barrier metal laminate is a three-layered laminate including titanium films sandwiching a titanium nitride film. The semiconductor device according to the invention facilitates improving the moisture resistance of the portion of the barrier metal laminate exposed temporarily in the manufacturing process, facilitates employing only one passivation film, facilitates preventing the failures caused by cracks from occurring and the failures caused by Si nodules remaining in the aluminum alloy from increasing.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: May 7, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Koji Sasaki, Kazuo Matsuzaki, Takashi Kobayashi
  • Publication number: 20130109172
    Abstract: Embodiments of the invention provide an improved process for depositing tungsten-containing materials. In one embodiment, the method for forming a tungsten-containing material on a substrate includes forming an adhesion layer containing titanium nitride on a dielectric layer disposed on a substrate, forming a tungsten nitride intermediate layer on the adhesion layer, wherein the tungsten nitride intermediate layer contains tungsten nitride and carbon. The method further includes forming a tungsten barrier layer (e.g., tungsten or tungsten-carbon material) from the tungsten nitride intermediate layer by thermal decomposition during a thermal annealing process (e.g., temperature from about 700° C. to less than 1,000° C.).
    Type: Application
    Filed: October 25, 2012
    Publication date: May 2, 2013
    Inventors: JOSHUA COLLINS, Murali K. Narasimhan, Jingjing Liu, Sang-Hyeob Lee, Kai Wu, Avgerinos V. Gelatos
  • Publication number: 20130109171
    Abstract: One or more embodiments relate to a method of making a semiconductor structure, comprising: forming a patterned metallic layer over a semiconductor substrate; forming a second layer over the patterned metallic layer; and etching the substrate.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Inventor: Manfred Engelhardt
  • Publication number: 20130105978
    Abstract: A silicon submount for a light emitting diode (LED) including a silicon base, a first insulating layer, a first electrode, a second electrode, and a reflective layer is provided. The silicon base has an upper surface and a lower surface, and a recess is disposed at the upper surface. The first insulating layer covers the upper surface and the lower surface of the silicon base. The first electrode and the second electrode are disposed on the first insulating layer on a bottom of the recess. The reflective layer is disposed on the first insulating layer on a sidewall of the recess. The first electrode, the second electrode, and the reflective layer are separated from one another and formed by the same material.
    Type: Application
    Filed: December 26, 2011
    Publication date: May 2, 2013
    Applicant: EPISIL TECHNOLOGIES INC.
    Inventor: Chih-Lung Hung
  • Patent number: 8431483
    Abstract: A method of forming an electrically conductive plug includes providing an opening within electrically insulative material over a node location on a substrate. An electrically conductive material is formed within the opening and elevationally over the insulative material. Some of the conductive material is removed effective to recess an outermost surface of the conductive material to from about 100 Angstroms to about 200 Angstroms from an outermost surface of the insulative material after said removing of some of the conductive material. After removing some of the conductive material, remaining volume of the opening over the conductive material is overfilled with an electrically conductive metal material different from that of the conductive material. The metal material is polished effective to form an electrically conductive plug within the opening comprising the conductive material and the metal material. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 30, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Zhaoli Sun, Jun Liu, Dapeng Wang
  • Patent number: 8431488
    Abstract: A cleaning solution is provided. The cleaning solution includes a fluorine containing compound, an inorganic acid, a chelating agent containing a carboxylic group and water for balance. The content of the fluorine containing compound is 0.01-0.5 wt % of. The content of the inorganic acid is 1-5 wt %.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: April 30, 2013
    Assignee: United Microelectronics Corp.
    Inventors: An-Chi Liu, Tien-Cheng Lan
  • Patent number: 8431482
    Abstract: Integrated circuits, a process for recessing an embedded copper feature within a substrate, and a process for recessing an embedded copper interconnect within an interlayer dielectric substrate of an integrated circuit are provided. In an embodiment, a process for recessing an embedded copper feature, such as an embedded copper interconnect, within a substrate, such as an interlayer dielectric substrate, includes providing a substrate having an embedded copper feature disposed therein. The embedded copper feature has an exposed surface and the substrate has a substrate surface adjacent to the exposed surface of the embedded copper feature. The exposed surface of the embedded copper feature is nitrided to form a layer of copper nitride in the embedded copper feature. Copper nitride is selectively etched from the embedded copper feature to recess the embedded copper feature within the substrate.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: April 30, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Errol T. Ryan, Xunyuan Zhang
  • Publication number: 20130102148
    Abstract: A method of manufacturing a semiconductor device with a cap layer for a copper interconnect structure formed in a dielectric layer is provided. In an embodiment, a conductive material is embedded within a dielectric layer, the conductive material comprising a first material and having either a recess, a convex surface, or is planar. The conductive material is silicided to form an alloy layer. The alloy layer comprises the first material and a second material of germanium, arsenic, tungsten, or gallium.
    Type: Application
    Filed: December 10, 2012
    Publication date: April 25, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20130093098
    Abstract: The embodiments of forming a through substrate via (TSV) structure described enable reducing risk of damaging gate structures due to over polishing of an inter-level dielectric layer (ILD) layer. The TSV structure with a wider opening near one end also enables better gapfill.
    Type: Application
    Filed: October 13, 2011
    Publication date: April 18, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ku-Feng YANG, Tsang-Jiuh WU, Yi-Hsiu CHEN, Ebin LIAO, Yuan-Hung LIU, Wen-Chih CHIOU
  • Patent number: 8421234
    Abstract: A semiconductor device according to an embodiment of the present invention includes a substrate, isolation layers and active regions formed in the substrate, and arranged alternately along a first direction parallel to a surface of the substrate, an inter layer dielectric formed on the isolation layers and the active regions, and having holes for respective contact plugs on the respective active regions, barrier layers formed in the holes, each of the barrier layers being formed on a top surface of an active region exposed in a hole and on one of two side surfaces of the hole, the two side surfaces of the hole being perpendicular to the first direction, and plug material layers formed on the barrier layers in the holes.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kiyohito Nishihara
  • Patent number: 8420535
    Abstract: A copper interconnection structure includes an insulating layer, an interconnection body including copper and a barrier layer surrounding the interconnection body. The barrier layer includes a first barrier layer formed between a first portion of the interconnection body and the insulating layer. The first portion of the interconnection body is part of the interconnection body that faces the insulating layer. The barrier layer also includes a second barrier layer formed on a second portion of the interconnection body. The second portion of the interconnection body is part of the interconnection body not facing the insulating layer. Each of the first and the second barrier layers is formed of an oxide layer including manganese, and each of the first and the second barrier layers has a position where the atomic concentration of manganese is maximized in their thickness direction of the first and the second barrier layers.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: April 16, 2013
    Assignee: Advanced Interconnect Materials, LLC
    Inventors: Junichi Koike, Akihiro Shibatomi
  • Publication number: 20130089980
    Abstract: An integrated circuit device having doped conductive contacts, and methods for its fabrication, are provided. One such method involves depositing a dielectric layer on the surface of a silicon semiconductor substrate, and photolithographically patterning a plurality of contact trenches on the dielectric layer. A tantalum barrier is deposited in the trenches, followed by a copper seed layer. The trenches are then plated with copper, including an overburden. A layer of doping material is deposited atop the overburden, and diffused into the copper by a heat treatment process. The overburden is then removed through chemical mechanical planarization, resulting in usable conductive interconnects in the trenches.
    Type: Application
    Filed: October 6, 2011
    Publication date: April 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Christian Witt
  • Patent number: 8415247
    Abstract: A method for manufacturing a semiconductor device, includes: forming a first metal layer on a semiconductor substrate, the semiconductor substrate including a diffusion layer; forming an insulating layer having an opening on the first metal layer; forming a second metal layer on the first metal layer in the opening of the insulating layer; removing the insulating layer; covering an exposed surface of the second metal layer with a third metal layer, the third metal layer including a metal having an ionization tendency lower than that of the second metal layer; and forming an electrode interconnect including the first metal layer, the second metal layer, and the third metal layer by removing the first metal layer using the third metal layer as a mask.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: April 9, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tomomi Imamura, Tetsuo Matsuda, Yoshinosuke Nishijo
  • Patent number: 8415798
    Abstract: A semiconductor device includes a first conductor formed over a semiconductor device; an insulation film formed over the semiconductor substrate and the first conductor and having an opening arriving at the first conductor; a first film formed in the opening and formed of a compound containing Zr; a second film formed over the first film in the opening and formed of an oxide containing Mn; and a second conductor buried in the opening and containing Cu.
    Type: Grant
    Filed: June 16, 2010
    Date of Patent: April 9, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Nobuyuki Ohtsuka, Noriyoshi Shimizu
  • Patent number: 8415753
    Abstract: This invention provides a semiconductor device having a field effect transistor comprising a gate electrode comprising a metal nitride layer and a polycrystalline silicon layer, and the gate electrode is excellent in thermal stability and realizes a desired work function. In the semiconductor device, a gate insulating film 6 on a silicon substrate 5 has a high-permittivity insulating film formed of a metal oxide, a metal silicate, a metal oxide introduced with nitrogen, or a metal silicate introduced with nitrogen, the gate electrode has a first metal nitride layer 7 provided on the gate insulating film 6 and containing Ti and N, a second metal nitride layer 8 containing Ti and N, and a polycrystalline silicon layer 9, in the first metal nitride layer 7, a molar ratio between Ti and N (N/Ti) is not less than 1.1, and a crystalline orientation X1 is 1.1<X1 <1.8, and in the second metal nitride layer 8, the molar ratio between Ti and N (N/Ti) is not less than 1.1, and a crystalline orientation X2 is 1.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: April 9, 2013
    Assignee: Canon Anelva Corporation
    Inventors: Takashi Nakagawa, Naomu Kitano, Kazuaki Matsuo, Motomu Kosuda, Toru Tatsumi
  • Patent number: 8409986
    Abstract: A method for improving the within die uniformity of the metal plug CMP process in the gate last route is provided. Before performing the CMP process for forming the metal plug, a metal etching process is applied, so that the step height between the metal layers in the contact hole area and the non-contact hole area is greatly reduced. Therefore, the relatively small step height will exert a significantly less effect on the following CMP process, so that the step height will be limitedly transferred to the top of metal plug after finishing CMP process. In this way, the recess on top of the metal plug is largely reduced, so that a flat top of the metal plug is obtained, and within die uniformity and electrical properties the device are improved.
    Type: Grant
    Filed: April 20, 2011
    Date of Patent: April 2, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Tao Yang, Chao Zhao, Junfong Li
  • Patent number: 8409983
    Abstract: In forming a TiN film on a base material (10) by a MOCVD method, a space between a showerhead (3) and a trapping member (5) is heated by a heater (2) up to a temperature at which TDMAT is thermally decomposed, or higher. Next, source gas containing TDMAT, and so on are emitted from the showerhead (3) into a chamber (1). As a result, the TDMAT emitted into the chamber (1) is thermally decomposed into TiN, carbon, and hydrocarbon by the heater (2) in the space between the showerhead (3) and the trapping member (5). Then, the TiN, carbon, and hydrocarbon move toward the base material (10). Then, the carbon and hydrocarbon are trapped by the trapping member (5). On the other hand, the TiN passes through the trapping member (5) without being trapped to reach the base material (10). As a result, a TiN film containing neither carbon nor hydrocarbon grows on a surface of the base material (10).
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: April 2, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Hiroyuki Uesugi
  • Publication number: 20130078799
    Abstract: A method of forming metal carbide barrier layers for fluorocarbon films in semiconductor devices is described. The method includes depositing a fluorocarbon film on a substrate and depositing a metal-containing layer on the fluorocarbon film at a first temperature, where the metal-containing layer reacts with the fluorocarbon film to form a metal fluoride layer at an interface between the metal-containing layer and the fluorocarbon film. The method further includes heat-treating the metal-containing layer at a second temperature that is greater than the first temperature, wherein the heat-treating the metal-containing layer removes fluorine from the metal fluoride layer by diffusion through the metal-containing layer and forms a metal carbide barrier layer at the interface between the metal-containing layer and the fluorocarbon film, and wherein the metal-containing layer survives the heat-treating at the second temperature without blistering or pealing.
    Type: Application
    Filed: September 24, 2011
    Publication date: March 28, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoshiyuki Kikuchi
  • Publication number: 20130078800
    Abstract: A method for fabricating metal-oxide semiconductor (MOS) transistor is disclosed. The method includes the steps of: providing a semiconductor substrate having a silicide thereon; performing a first rapid thermal process to drive-in platinum from a surface of the silicide into the silicide; and removing un-reacted platinum in the first rapid thermal process.
    Type: Application
    Filed: September 28, 2011
    Publication date: March 28, 2013
    Inventors: Kuo-Chih Lai, Nien-Ting Ho, Shu Min Huang, Bor-Shyang Liao, Chia Chang Hsu
  • Publication number: 20130075908
    Abstract: An interconnect structure and method for fabricating the interconnect structure having enhanced performance and reliability, by minimizing oxygen intrusion into a seed layer and an electroplated copper layer of the interconnect structure, are disclosed. At least one opening in a dielectric layer is formed. A sacrificial oxidation layer disposed on the dielectric layer is formed. The sacrificial oxidation layer minimizes oxygen intrusion into the seed layer and the electroplated copper layer of the interconnect structure. A barrier metal layer disposed on the sacrificial oxidation layer is formed. A seed layer disposed on the barrier metal layer is formed. An electroplated copper layer disposed on the seed layer is formed. A planarized surface is formed, wherein a portion of the sacrificial oxidation layer, the barrier metal layer, the seed layer, and the electroplated copper layer are removed. In addition, a capping layer disposed on the planarized surface is formed.
    Type: Application
    Filed: September 28, 2011
    Publication date: March 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, JR., Geraud Jean-Michel Dubois, Daniel C. Edelstein, Takeshi Nogami, Daniel P. Sanders
  • Patent number: 8404584
    Abstract: The method of manufacturing the semiconductor device includes forming an insulating film above a semiconductor substrate, forming an opening in the insulating film, forming a conductive film above the insulating film with the opening formed, removing the conductive film above the insulating film to bury the conductive film in the opening, and processing a surface of the insulating film with a silicon compound including Si—N or Si—Cl.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: March 26, 2013
    Assignee: Fujitsu Limited
    Inventors: Tadahiro Imada, Kouta Yoshikawa
  • Patent number: 8404583
    Abstract: A method for improving conformality of oxide layers along sidewalls of vias in semiconductor substrates includes forming a nitride layer over an upper surface of a semiconductor substrate and forming a via extending through the nitride layer and into the semiconductor substrate. The via may have a depth of at least about 50 ?m from a top surface of the nitride layer and an opening of less than about 10 ?m at the top surface of the nitride layer. The method also includes forming an oxide layer over the nitride layer and along sidewalls and bottom of the via. The oxide layer may be formed using a thermal chemical vapor deposition (CVD) process at a temperature of less than about 450° C., where a thickness of the oxide layer at the bottom of the via is at least about 50% of a thickness of the oxide layer at the top surface of the nitride layer.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: March 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Manuel A. Hernandez, Lei Luo, Kedar Sapre
  • Patent number: 8399353
    Abstract: A method of forming a Cu wiring in a trench or hole formed in a substrate is provided. The method includes forming a barrier film on the surface of the trench or hole, forming a Ru film on the barrier film, and embedding copper in the trench or hole by forming a Cu film on the Ru film using PVD while heating the substrate such that migration of copper into the trench or hole occurs.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: March 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Atsushi Gomi, Takara Kato, Osamu Yokoyama, Takashi Sakuma, Chiaki Yasumuro, Hiroyuki Toshima, Tatsuo Hatano, Yasushi Mizusawa, Masamichi Hara
  • Publication number: 20130062774
    Abstract: A method includes forming a metal hard mask over a low-k dielectric layer. The step of forming the metal hard mask includes depositing a sub-layer of the metal hard mask, and performing a plasma treatment on the sub-layer of the metal hard mask. The metal hard mask is patterned to form an opening. The low-k dielectric layer is etched to form a trench, wherein the step of etching is performed using the metal hard mask as an etching mask.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 14, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Chi Ko, Chia-Cheng Chou, Shing-Chyang Pan, Keng-Chu Lin, Shwang-Ming Jeng
  • Publication number: 20130062736
    Abstract: A method of forming semiconductor die includes forming a layer of polymer or a precursor of the polymer on a bottomside of a substrate having a topside including active circuitry and a bottomside, and a plurality of through-substrate-vias (TSVs). The TSVs have a liner including at least a dielectric liner and an inner metal core that extends to TSV tips that protrude from the bottomside. The layer of polymer or precursor and liner cover the plurality of TSV tips, and the layer of polymer or precursor is between the TSV tips on the bottomside. The polymer or precursor and the liner are removed from over a top of the TSV tips to reveal the inner metal core.
    Type: Application
    Filed: September 9, 2011
    Publication date: March 14, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: JEFFREY E. BRIGHTON, JEFFREY A. WEST, RAJESH TIWARI
  • Patent number: 8395264
    Abstract: A layer having a barrier function and catalytic power and excelling in formation uniformity and coverage of an ultrathin film, a pretreatment technique making it possible to form an ultrafine wiring and form a thin seed layer of uniform film thickness and a substrate including a thin seed layer formed with a uniform film thickness by electroless plating by using the aforementioned technique. A substrate in which an alloy film of one or more metal elements, having a barrier function and a metal element or metal elements, having catalytic power with respect to electroless plating is formed by chemical vapor deposition (CVD) on a base to a film thickness of 0.5 nm to 5 nm with a content ratio of the one or more metal element having a barrier function from 5 to 90 at. %.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: March 12, 2013
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Junichi Ito, Junnosuke Sekiguchi, Toru Imori
  • Patent number: 8389327
    Abstract: A method for manufacturing chips (1, 2), in which at least one diaphragm (11, 12) is produced in the surface layer of a semiconductor substrate (10) spanning a cavity (13). The functionality of the chip (1, 2) is then integrated into the diaphragm (11, 12). In order to separate the chip (1, 2), the diaphragm (11, 12) is detached from the substrate composite. The method according to the present invention is characterized by metal plating of the back of the chip (1, 2) in an electroplating process before the chip is separated.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: March 5, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Torsten Kramer, Matthias Boehringer, Stefan Pinter, Hubert Benzel, Matthias Illing, Frieder Haag, Simon Armbruster
  • Publication number: 20130050883
    Abstract: In one embodiment, an integrated circuit, and method of manufacturing thereof, is provided. The integrated circuit contains an over-voltage protection element and an over-current protection element. The integrated circuit operates to provide enhanced and efficient ESD functionality. The over-current element of the instant disclosure includes a diffusion protection layer to enhance the lifetime of the over-current element and improve functionality.
    Type: Application
    Filed: August 24, 2011
    Publication date: February 28, 2013
    Inventors: Olaf Pfennigstorf, Wolfgang Schnitt
  • Publication number: 20130049132
    Abstract: The instant disclosure relates to MOSFET semiconductor structures exhibiting a reduced parasitic capacitance, as well as methods of making the MOSFET semiconductor structures. The MOSFET semiconductor structures of the instant disclosure comprise an air-gap interlayer dielectric material between the contacts to the source/drain and gate structures and gate stack structures. The air-gap interlayer dielectric material causes the MOSFET semiconductor structures of the instant disclosure to have a reduced parasitic capacitance.
    Type: Application
    Filed: August 24, 2011
    Publication date: February 28, 2013
    Applicant: International Business Machines Corporation
    Inventors: Bruce B. Doris, Kangguo Cheng, Charles W. Koburger, III
  • Publication number: 20130052818
    Abstract: A method includes forming a hard mask over a low-k dielectric layer, and patterning the hard mask to form an opening. A stress tuning layer is formed over the low-k dielectric layer and in physical contact with the hard mask. The stress tuning layer has an inherent stress, wherein the inherent stress is a near-zero stress or a tensile stress. The low-k dielectric layer is etched to form a trench aligned to the opening, wherein the step of etching is performed using the hard mask as an etching mask.
    Type: Application
    Filed: August 29, 2011
    Publication date: February 28, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Cheng Shih, Chung-Chi Ko, Keng-Chu Lin
  • Publication number: 20130043591
    Abstract: A local interconnect structure is provided in which a tungsten region, i.e., tungsten stud, that is formed within a middle-of-the-line (MOL) dielectric material is not damaged and/or contaminated during a multiple interconnect patterning process. This is achieved in the present disclosure by forming a self-aligned tungsten nitride passivation layer within a topmost surface and upper sidewalls portions of the tungsten region that extend above a MOL dielectric material which includes a first interconnect pattern formed therein. During the formation of the self-aligned tungsten nitride passivation layer, a nitrogen enriched dielectric surface also forms within exposed surface of the MOL dielectric material. A second interconnect pattern is then formed adjacent to, but not connect with, the first interconnect pattern. Because of the presence of the self-aligned tungsten nitride passivation layer on the tungsten region, no damaging and/or contamination of the tungsten region can occur.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Daniel C. Edelstein
  • Patent number: 8377822
    Abstract: A semiconductor structure having a cap layer formed over a metalized dielectric layer is formed by depositing manganese on the surface of the metalized dielectric layer. The deposited manganese serves as a first cap layer to remove oxidation on the surface of the metalized dielectric layer. The presence of oxidation on the surface of the metalized dielectric layer can be delirious for performance of a device constructed out of the semiconductor structure. A second cap layer is then formed by depositing silicon carbide or nitrogen enriched silicon carbide over the first cap layer.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: February 19, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumichi Tsumura, Takamasa Usui
  • Patent number: 8377823
    Abstract: A method of forming a semiconductor device includes forming a trench on a porous insulating film, placing a chemical material including a structure comprising —Si—O— including vinyl group on a surface of the porous insulating film or in the porous insulating film, and performing polymerization of the chemical material to provide a dielectric film having a density higher than that of porous insulating film on the surface of the trench. The structure may be a structure defined by a formula 1.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: February 19, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Masayoshi Tagami, Fuminori Ito
  • Publication number: 20130037953
    Abstract: A manufacturing method for a through silicon via structure includes the following steps. First, a substrate is provided, and a through silicon hole is formed in the substrate. An outer plasma enhanced oxide layer is formed on the surface of the through silicon hole, and then a liner layer is formed on the surface of the outer plasma enhanced oxide layer. An inner plasma enhanced oxide layer is formed on the surface of the liner layer. Finally, a conductor is formed on the surface of the inner plasma enhanced oxide layer to completely fill the through silicon hole.
    Type: Application
    Filed: August 10, 2011
    Publication date: February 14, 2013
    Inventors: Hsin-Yu Chen, Ching-Li Yang
  • Publication number: 20130040455
    Abstract: A method for modulating stress in films formed in semiconductor device manufacturing provides for high temperature annealing of an as-deposited compressive film such as titanium nitride. The high temperature annealing converts the initially compressive film to a tensile film without compromising other film qualities and characteristics. The converted tensile films are particularly advantageous as work function adjusting films in PMOS transistor devices and are advantageously used in conjunction with additional metal gate materials.
    Type: Application
    Filed: August 12, 2011
    Publication date: February 14, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Meng-Hsuan CHAN, Wei-Yang LEE, Da-Yuan LEE, Kuang-Yuan HSU
  • Publication number: 20130040457
    Abstract: A structure includes a semiconductor device formed in a substrate; an insulator adjacent to the semiconductor device; an electrical contact electrically coupled to the semiconductor device, wherein the electrical contact includes tungsten; and an electrical connector coupled to the electrical contact, wherein the electrical connector includes aluminum. A surface of the insulator and a surface of the electrical contact form a substantially even surface.
    Type: Application
    Filed: October 17, 2012
    Publication date: February 14, 2013
    Applicant: VISHAY-SILICONIX
    Inventor: VISHAY-SILICONIX