Specified Aspect Ratio Of Conductor Or Viahole Patents (Class 438/668)
  • Patent number: 7071097
    Abstract: Interconnect dual damascene structure are fabricated by depositing on a layer of at least one dielectric, a mask forming layer for providing the via-level mask layer of the dual damascene structures; creating an elongated via pattern in the via-level mask layer; depositing a layer of line-level dielectric and creating a line pattern through the layer of line-level dielectric, and transferring the line pattern through the projected intersection of the elongated via-level pattern and of the line-level pattern thereby generating an aligned dual damascene structure. A conductive liner layer is deposited in the dual damascene structure followed by filling the dual damascene structure with a conductive fill metal to form a set of metal lines. The metal and liner layers are planarized.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: July 4, 2006
    Assignee: International Business Machines Corporation
    Inventor: Matthew E. Colburn
  • Patent number: 7052989
    Abstract: A semiconductor device capable of compatibly suppressing a microloading effect (irregular etching) and over-etching also in formation of a fine contact hole requiring a high aspect ratio is obtained. This semiconductor device comprises a first conductive part, an insulator film having an opening formed on the first conductive part and a second conductive part electrically connected with the first conductive part through the opening. The insulator film includes an upper insulator film and a lower insulator film, stacked/formed at least around a connection part between the first conductive part and the second conductive part, consisting of different materials.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: May 30, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshinari Ichihashi, Takashi Goto
  • Patent number: 7049191
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: May 23, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 7049228
    Abstract: A process for introducing structures that have different dimensions, particularly with regard to depth, in which just one lithography level is required, is disclosed. This is achieved by use of a layer stack deposited on a substrate, where one layer in particular is used to store information related to the dimensioning of the different structures. The layer is partially opened up to expose the substrate at locations corresponding to where deep structures are to be formed. Deep structures are subsequently etched into the substrate, after which the layer is opened up at locations corresponding to where shallow structures are to be formed. The latter locations are subsequently etched to the desired depth of the shallower structures. The process can be used instead of conventional the dual damascene technology for the structuring of contact holes and interconnects.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: May 23, 2006
    Assignee: Infineon Technologies AG
    Inventors: Ulrich Baier, Oliver Genz
  • Patent number: 7018917
    Abstract: Multiple metallization layers in a partially fabricated integrated circuit are formed in a single process step. As a place-holder for the later-deposited metallization layers, sacrificial material is deposited in the integrated circuit at desired locations at various fabrication levels over a substrate. The sacrificial material is then removed to form a contiguous open volume spanning multiple fabrication levels. A conductor is then deposited in the open volume to form multiple metallization layers in a single step.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: March 28, 2006
    Assignee: ASM International N.V.
    Inventor: Kai-Erik Elers
  • Patent number: 7015137
    Abstract: This invention provides a semiconductor device that can ensure that stress on the nitride film is not increased or is reduced, and that can prevent an increase in interconnection capacity. The semiconductor device comprises a underlayer, a base oxide film that is formed on this underlayer, a nitride film pattern with a hole pattern that is provided on this base oxide film, holes that penetrate the base oxide film, an upper oxide film provided on the base oxide film to cover the nitride film pattern, wiring grooves provided through the upper oxide film in which part of the nitride film pattern including the hole pattern is exposed, and wiring metal that fills the holes and wiring grooves. The nitride film pattern is formed with such a shape and size that surrounds the outside of the wiring grooves and is separate from neighbouring nitride film patterns.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: March 21, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Toyokazu Sakata, Hidenori Inui
  • Patent number: 6989327
    Abstract: An aspect of the present invention is a method of forming a contact in a thin-film device. The method includes forming a liftoff stencil, depositing at least one material through the liftoff stencil, removing a portion of the liftoff stencil, forming a re-entrant profile with the remaining portion of the liftoff stencil and depositing a conductor material in contact with the at least one material on the re-entrant profile.
    Type: Grant
    Filed: January 31, 2004
    Date of Patent: January 24, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Manish Sharma, Thomas C. Anthony, Heon Lee
  • Patent number: 6982221
    Abstract: A method of forming a ?F pitch high density line array, where F is the minimum line width of a photolithographic process used to accomplish the method of the invention; includes depositing a conductive material on a wafer; depositing a layer of sacrificial material; etching the sacrificial material to form a placeholder having width and space of F; depositing sidewall spacer material hard mask to a thickness of about ?F on the sacrificial material; anisotropically etching the hard mask material; depositing a layer of silicon oxide and smoothing the silicon oxide; selectively removing the placeholder; depositing a second sidewall spacer layer to a thickness of about ?F; depositing and smoothing another hard mask layer; etching the silicon oxide and conductive material using the other hard mask lines as a pattern; etching to form interconnect lines; and selectively etching any remaining hard mask material to expose lines and contact pads.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: January 3, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Sheng Teng Hsu
  • Patent number: 6979643
    Abstract: In a method for forming interlayer connections, metal conducting paths in an overlaying layer and vias forming the deposit in one and the same operation. In an interlayer connection formed in this manner the vias are provided integral with connecting conducting paths in the overlaying layer.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: December 27, 2005
    Assignee: Thin Film Electronics ASA
    Inventors: Goran Gustafsson, Peter Dyreklev, Johan Carlsson
  • Patent number: 6969674
    Abstract: The present invention relates to a Fine Pitch flip chip substrate. A black oxide dam is made on the metal circuit between bump pads to replace the conventional solder resist so that the bump pads will not be buried in the solder resist. A small via is drilled by laser drilling and plated filled with copper to be used as the connection between the circuits. By this way, the density and the flexibility of routing could be improved. A mesh pattern can be made in the limited space to increase the stiffness of the substrate.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: November 29, 2005
    Assignee: Kinsus Interconnect Technology
    Inventors: Chien-Wei Chang, Sheng-Chuan Huang
  • Patent number: 6960522
    Abstract: A method for making a damascene interconnect structure with a bi-layer capping film is provided. The damascene interconnect structure comprises a semiconductor layer and a dielectric layer disposed on the semiconductor layer. The dielectric layer has a main surface and at least one damascened recess provided on the main surface. A copper wire is embedded in the damascened recess. The copper wire has a chemical mechanical polished upper surface, which is substantially co-planar with the main surface of the dielectric layer. After polishing the upper surface of the copper wire, the upper surface is pre-treated and reduced in a conductive plasma environment at a temperature of below 300° C. A bi-layer capping film is thereafter disposed on the upper surface of the copper wire. The bi-layer capping film consists of a lower HDPCVD silicon nitride layer and an upper doped silicon carbide layer.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: November 1, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Jei-Ming Chen, Yi-Fang Chiang, Chih-Chien Liu
  • Patent number: 6955948
    Abstract: A component built-in module includes an electric insulation layer, first wiring patterns in a plurality of layers that are laminated with the electric insulation layer being interposed therebetween, at least one first inner via electrically connecting the first wiring patterns in different layers with each other, and at least one electronic component that is embedded in the electric insulation layer and is mounted on any one of the first wiring patterns in the plurality of layers, wherein at least one of the first inner vias is present in a range that overlaps a range in which the electronic component is present in a lamination direction in which the first wiring patterns are laminated, and has a height in the lamination direction that is smaller than a height of the electronic component. Since the first inner via has a small height, the via diameter can be decreased. Therefore, it is possible to provide a component built-in module that has high reliability and is suitable for high-density component mounting.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: October 18, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshiyuki Asahi, Yasuhiro Sugaya, Shingo Komatsu, Seiichi Nakatani
  • Patent number: 6933230
    Abstract: The inventor devised methods of forming interconnects that result in conductive structures with fewer voids and thus reduced electrical resistance. One embodiment of the method starts with an insulative layer having holes and trenches, fills the holes using a selective electroless deposition, and fills the trenches using a blanket deposition. Another embodiment of this method adds an anti-bonding material, such as a surfactant, to the metal before the electroless deposition, and removes at least some the surfactant after the deposition to form a gap between the deposited metal and interior sidewalls of the holes and trenches. The gap serves as a diffusion barrier. Another embodiments leaves the surfactant in place to serve as a diffusion barrier. These and other embodiments ultimately facilitate the speed, efficiency, or fabrication of integrated circuits.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: August 23, 2005
    Assignee: Intel Corporation
    Inventor: Valery Dubin
  • Patent number: 6916699
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: July 12, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6908862
    Abstract: A method of depositing a film on a substrate disposed in a substrate processing chamber. The method includes depositing a first portion of the film by forming a high density plasma from a first gaseous mixture flown into the process chamber. The deposition processes is then stopped and part of the deposited first portion of the film is etched by flowing a halogen etchant into the processing chamber. Next, the surface of the etched film is passivated by flowing a passivation gas into the processing chamber, and then a second portion of the film is deposited over the first portion by forming a high density plasma from a second gaseous mixture flown into the process chamber. In one embodiment the passivation gas consists of an oxygen source with our without an inert gas.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: June 21, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dongqing Li, Xiaolin C. Chen, Lin Zhang
  • Patent number: 6903012
    Abstract: A sloped via contact is used to connect a contact on the front side of a wafer to a contact on the back side of the wafer. The walls of a small (less than 50-80 microns wide) via have typically been difficult to coat with metal. The present invention forms a small via with sloped walls, allowing easy access to the inside walls of the via for metal sputtering or plating. The small via can be formed using a dry etch process such as the well-known deep reactive ion etching (DRIE) process. Using any isotropic plasma etch process, the walls of the via are further etched from the wafer backside to create sloped walls in the via. The via is then coated with metal to make it conductive.
    Type: Grant
    Filed: April 15, 2004
    Date of Patent: June 7, 2005
    Assignee: Agilent Technologies, Inc.
    Inventors: Frank S Geefay, Qing Gan
  • Patent number: 6887792
    Abstract: Disclosed are layered groupings and methods for constructing digital circuitry, such as memory known as Permanent Inexpensive Rugged Memory (PIRM) cross point arrays which can be produced on flexible substrates by patterning and curing through the use of a transparent embossing tool.
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: May 3, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Craig Perlov, Carl Taussig, Ping Mei
  • Patent number: 6867121
    Abstract: The present invention provides for a method of interconnecting a bumped circuit having relatively fine traces to an overlying conductive layer of a laminated circuit assembly. The overlying conductive layer is laminated with a suitable insulating adhesive over a bumped relatively fine pitch circuit layer. In the general vicinity of the desired power connection, a window substantially larger than the width of the bump is etched away from the conductive material of the trace of the outer conductive layer and the adhesive is plasma etched to expose the elevated portion of the desired bump of the bumped circuit. A conductive media such as conductive polymer or solder is then applied at the etched window of the overlying relatively coarse trace, which ensures an electrical connection between the exposed portion of the bump and the overlying trace.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: March 15, 2005
    Assignee: International Business Machines Corporation
    Inventor: Paul Marlan Harvey
  • Patent number: 6864172
    Abstract: A manufacturing method of a semiconductor device of this invention includes forming metal pads on a Si substrate through a first oxide film, bonding the Si substrate and a holding substrate which bolsters the Si substrate through a bonding film, forming an opening by etching the Si substrate followed by forming a second oxide film on a back surface of the Si substrate and in the opening, forming a wiring connected to the metal pads after etching the second oxide film, forming a conductive terminal on the wiring, dicing from the back surface of the Si substrate to the bonding film and separating the Si substrate and the holding substrate.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: March 8, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Takashi Noma, Hiroyuki Shinogi, Yukihiro Takao
  • Patent number: 6858511
    Abstract: A semiconductor wafer having a via test structure is provided which includes a semiconductor substrate having a plurality of semiconductor devices. A dielectric layer deposited over the semiconductor substrate has second and fourth channels unconnected to the plurality of semiconductor devices. A via dielectric layer deposited over the channel dielectric layer has first and second vias and third and fourth vias respectively open to opposite ends of the second channel and the fourth channel. A second dielectric layer over the via dielectric layer has first, third, and fifth channels respectively connected to the first via, the second and third vias, and the fourth via. The first channel, the first via, the second channel, the second via, the third channel, the third via, the fourth channel, the fourth via, and the fifth channel are connected in series and the first and fifth channel are probed to determine the presence or absence of voids in the vias.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: February 22, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Amit P. Marathe
  • Patent number: 6835645
    Abstract: After forming, on a substrate, a first insulating film with a relatively low dielectric constant and low mechanical strength, the first insulating film is patterned. After forming, on the substrate, a second insulating film with a relatively high dielectric constant and high mechanical strength, the second insulating film is planarized by polishing, so as to form a thinned portion of the second insulating film on the patterned first insulating film. An interconnect groove is formed in the thinned portion of the second insulating film and the patterned first insulating film, and then, a buried interconnect is formed in the interconnect groove.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: December 28, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Tsuneo Ikura
  • Patent number: 6835646
    Abstract: Conductive material is deposited by ionized physical vapor deposition on an insulator, possibly to contact a conductive layer exposed by an opening in the insulator. At the beginning of the deposition, the wafer bias is low (possibly zero), to prevent the insulator re-sputtering by the ionized conductive material as this material is being deposited. The contact resistance is improved (reduced) as a result.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: December 28, 2004
    Assignee: ProMOS Technologies, Inc.
    Inventor: Vincent Fortin
  • Patent number: 6821885
    Abstract: A method for manufacturing a semiconductor device of the present invention includes the steps of: (a) depositing an interlayer insulator film on a substrate including a plurality of conductive layers; (b) forming a plurality of contact holes running through the interlayer insulator film to reach respective ones of the plurality of conductive layers, each of the contact holes having a tapered portion at an upper end thereof; (c) depositing a conductive material film on the interlayer insulator film so as to fill the plurality of contact holes; (d) removing the conductive material film until a surface of the interlayer insulator film is exposed so as to form a plurality of plugs made of the conductive material film filling the plurality of contact holes; and (e) removing a portion of the interlayer insulator film, which has been exposed in the step (d), so as to remove the tapered portions.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: November 23, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Shinichi Imai
  • Patent number: 6822333
    Abstract: According to one embodiment (500), a method of depositing an insulating layer to fill constrained spaces on an integrated circuit is disclosed. Gate structures are formed that include sidewall structures (502 and 504). An insulating layer may then be deposited over the gate structures (506). An insulating layer may be deposited by high density plasma CVD to create a silicon dioxide layer with relatively high levels of phosphorous. An insulating layer formed in this manner may fill constrained spaces and may not include a following reflow step. This may allow for a smaller thermal budget and may reduce process complexity and/or cycle time. In the event the insulating layer is substantially phosphosilicate glass (PSG), the formation of a “cap” layer of undoped silicon oxide may be avoided. Without a cap layer, contact holes may be etched through an insulating layer with a single etch step. This may also reduce process complexity and/or cycle time.
    Type: Grant
    Filed: September 12, 2001
    Date of Patent: November 23, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventor: Jengyi Yu
  • Patent number: 6821887
    Abstract: The polysilicon gate electrode of a MOS transistor may be substantially completely converted into a metal silicide without sacrificing the drain and source junctions in that a thickness of the polysilicon layer, for forming the gate electrode, is targeted to be substantially converted into metal silicide in a subsequent silicidation process. The gate electrode, substantially comprised of metal silicide, offers high conductivity even at critical dimensions in the deep sub-micron range, while at the same time the effect of polysilicon gate depletion is significantly reduced. Manufacturing of the MOS transistor, having the substantially fully-converted metal silicide gate electrode, is essentially compatible with standard MOS process technology.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: November 23, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Stephan Kruegel, Manfred Horstmann, Thomas Feudel
  • Patent number: 6812139
    Abstract: A recess having a height-to-width aspect ratio from about 6:1 to about 10:1 in a semiconductor structure is taught with a method of forming the same. In a first embodiment, a refractory metal layer is formed in the recess, which can be a trench, a contact hole, or a combination thereof. A refractory metal nitride layer is then formed on the refractory metal layer. A heat treatment is used to form a metal silicide contact at the bottom of the contact hole upon a semiconductor material. In a first alternative method, an ammonia high-temperature treatment is conducted to remove undesirable impurities within the refractory metal nitride layer lining the contact hole and to replace the impurities with more nitrogen. In a second alternative method, a second refractory metal nitride layer is formed by PVD upon the first refractory metal nitride layer. In either alternative, a metallization layer is deposited within the recess.
    Type: Grant
    Filed: October 25, 2002
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: John H. Givens, Russell C. Zahorik, Brenda D. Kraus
  • Patent number: 6812137
    Abstract: The present invention provides a semiconductive substrate which includes front and back surfaces and a hole which extends through the substrate and between the front and back surfaces. The hole is defined in part by an interior wall portion and forms an outer conductive sheath. Conductive material is formed proximate at least some of the interior wall portion. Subsequently, a layer of dielectric material is formed within the hole, over and radially inwardly of the conductive material. A second conductive material is then formed within the hole over and radially inwardly of the dielectric material layer. The latter conductive material constitutes an inner conductive coaxial line component.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6812132
    Abstract: Suitable particles may be deposited within an extremely small high-aspect ratio via by flowing the particles in a suspension using supercritical carbon dioxide. The particles may be made up of diblock copolymers or silesquioxane-based materials or oligomers of phobic homopolymers or pre-formed silica-based particles stabilized using diblock copolymers and may include chemical initiators to permit in situ polymerization within the via.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: November 2, 2004
    Assignee: Intel Corporation
    Inventors: Vijayakumar S. Ramachandrarao, Robert B. Turkot, Jr.
  • Patent number: 6808404
    Abstract: A jack assembly includes a contact switching system for receiving a coaxial plug. The assembly includes an insulative housing having a plug-receiving chamber. A fixed terminal is mounted on the housing and has a fixed switch contact portion located outside the chamber. A moveable terminal is mounted on the housing and includes a spring arm located inside the chamber in the path of insertion of the coaxial plug. A movable switch contact portion is connected to the spring arm for movement therewith into and out of engagement with the fixed switch contact portion. The movable switch contact portion is located outside the chamber. Therefore, engagement of the coaxial plug with the spring arm inside the chamber is effective to cause relative movement of the switch contact portions outside the chamber.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: October 26, 2004
    Assignee: Molex Incorporated
    Inventors: Seamus Doyle, Francis Duggan, Maurice Shanahan, Eugene Folan
  • Patent number: 6808976
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: October 26, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6794262
    Abstract: A metal-insulator-metal (MIM) capacitor (242/252) structure and method of forming the same. A dielectric layer (214) of a semiconductor device (200) is patterned with a dual damascene pattern having a first pattern (216) and a second pattern (218). The second pattern (218) has a greater depth than the first pattern (216). A conductive layer (226) is formed over the dielectric layer (214) in the first pattern, and a conductive layer is formed over the conductive layer in the first pattern (216). A dielectric layer (232), conductive layer (234), dielectric layer (236) and conductive layer (238) are disposed over the conductive layer (226) of the second pattern (218). Conductive layer (234), dielectric layer (232) and conductive layer (226) form a first MIM capacitor (252). Conductive layer (238), dielectric layer (236) and conductive layer (234) form a second MIM capacitor (242) parallel to the first MIM capacitor (242).
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: September 21, 2004
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Xian J. Ning, Keith Kwong Hon Wong
  • Patent number: 6790771
    Abstract: A bitline structure for DRAM and the method for forming the same. The bitline structure includes a first dielectric layer on a substrate, a bitline contact hole, formed through the first dielectric layer, a bitline contact, formed in the bitline contact hole, a second dielectric layer, formed on the first dielectric layer and covering the bitline contact, a peripheral contact hole, formed through the first dielectric layer and the second dielectric layer, a peripheral contact, formed in the peripheral contact hole, a first bitline, formed in the second dielectric layer and contacting the bitline contact, and a second bitline, formed in the second dielectric layer and contacting the peripheral contact.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: September 14, 2004
    Assignee: Nanya Technology Corporation
    Inventor: Kuo-Chien Wu
  • Publication number: 20040124499
    Abstract: Semiconductor device structures and methods of making such structures that include one or more etched openings (e.g., capacitor containers and/or contact apertures) therein with increased height-to-width ratios are provided. The structures of the present invention are formed by successive layer deposition wherein conventional patterning techniques may be utilized in a stepwise fashion as the height of the structure is increased. Further provided is a self-aligning interconnection structure which may be used to substantially vertically align openings formed in successively deposited, vertically placed structural layers of a semiconductor device. The interconnection structure utilizes a cap-and-funnel model that self-aligns to the center plane of an opening in a first structural layer and also substantially prevents subsequently deposited material from entering the opening.
    Type: Application
    Filed: December 26, 2002
    Publication date: July 1, 2004
    Inventor: Lingyi A. Zheng
  • Patent number: 6756304
    Abstract: A method of fabricating conducting through-connections in a substrate, and a substrate equipped with such connections. The method of fabricating conducting through-connections between the front face and the rear face of a substrate hollows into the substrate, from the rear-face side, cavities having a depth and a cross-section that are defined so as to delimit studs of defined cross-section, which are intended to provide for electrical conduction between the front and rear faces, and filling in the cavities with a dielectric material. The substrate is equipped with conducting through-connections between its front face and its rear face. The conducting connections are provided by way of studs delimited by cavities filled in with a dielectric material. Such a method and substrate may find application, in particular, to substrates used for the fabrication of microsensors.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: June 29, 2004
    Assignee: Thales Avionics S.A.
    Inventor: Philippe Robert
  • Patent number: 6743712
    Abstract: A method for making a semiconductor device is described. That method includes forming a sacrificial layer on a substrate, then forming a layer of photoresist on the sacrificial layer. After the photoresist layer is patterned, to form a patterned photoresist layer that has a first opening, part of the sacrificial layer is removed to generate an etched sacrificial layer that has a second opening that is substantially smaller than the first opening.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: June 1, 2004
    Assignee: Intel Corporation
    Inventors: Hyun-Mog Park, Jihperng Leu, Chih-I Wu
  • Patent number: 6723596
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 20, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6720215
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 13, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6709949
    Abstract: In the three-dimensional integration of integrated circuits, a thinned semiconductor substrate is arranged on a second semiconductor substrate and is mechanically and electrically connected thereto. To that end, in the second, thinned semiconductor substrate, continuous contact holes are formed proceeding from a substrate rear side as far as a first metal wiring plane on a substrate front side. In order to align the contact holes with the structures arranged on the front side, a structure is arranged on the front side of the substrate, which can be used as an alignment mark on the front side. The structure is overgrown with a useful layer and uncovered proceeding from the rear side of the substrate, so that the structure can also be used as an alignment mark from the rear side. This avoids an alignment error between the structures arranged on the front side and the rear side.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: March 23, 2004
    Assignee: Infineon Technologies AG
    Inventor: Holger Hübner
  • Patent number: 6709978
    Abstract: An integrated circuit and method for forming the same. The integrated circuit includes a semiconductor wafer with first and second surfaces. A functional circuit is formed on the first surface of the semiconductor wafer. Further, a metallization layer is formed outwardly from the first surface of the semiconductor wafer. The integrated circuit also includes at least one high aspect ratio via that extends through the layer of semiconductor material. This via provides a connection between a lead and the functional circuit.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: March 23, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Joseph E. Geusic, Kie Y. Ahn, Leonard Forbes
  • Patent number: 6703310
    Abstract: A semiconductor device, enabling reliable electrical connection of a main electrode pad with an interconnection pattern without separate provision of a via use electrode pad in addition to the existing main electrode pad, provided with a silicon substrate (semiconductor substrate), an electronic element formation layer formed on one surface of that silicon substrate, an electrode pad having an extension and electrically connected to the electronic element formation layer, a through hole passing through the electrode pad and the silicon substrate, an SiO2 film (insulating film), a via hole provided in the SiO2 film on the extension of the electrode pad, and an interconnection pattern electrically leading out the electrode pad to the other surface of the silicon substrate through the through hole and via hole, said through hole having a diameter larger at a portion passing through the electrode pad than a portion passing through the semiconductor substrate.
    Type: Grant
    Filed: June 6, 2002
    Date of Patent: March 9, 2004
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Naohiro Mashino, Mitsutoshi Higashi
  • Patent number: 6696359
    Abstract: A process to enhance metal line layout designs is provided and includes two separate control spaces to address capacitive issues along speed sensitive pathways in an integrated circuit structure without negatively impacting the Werner Fill process. One control space (i.e., DRCgap1) is for decreasing the spacing between various metal features to standardize such spacing, and a second control space (i.e., DRCgap2) is for addressing capacitance issues along speed sensitive pathways. Between speed sensitive pathways, spacing of added metal features provided to long parallel metal lines are maintained at the second control spacing DRCgap2. Spaces at the ends of such long parallel metal lines are reduced to the first control spacing DRCgap1 in order to best fill three-way-intersections (TWIs) with subsequent depositions.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: February 24, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Philip J. Ireland
  • Patent number: 6683000
    Abstract: A semiconductor-device fabrication method includes a step of forming a contact hole in a semiconductor substrate 1 and a step of forming a conductive contact hole. The step of forming the contact hole is performed by repeating two times or more a burying step of depositing a conductive material 5 to bury the conductive material in the contact hole and an etch-back step of removing the conductive material around the contact hole by etch back.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: January 27, 2004
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Shoichi Fukui, Takeru Matsuoka
  • Patent number: 6677236
    Abstract: A semiconductor device fabrication method includes forming a first interconnect and a second interconnect from aluminum or aluminum alloy. The first and second interconnects are formed at different layers and are connected to each other via metal not including aluminum. A hole is provided at the second interconnect, to suppress aluminum loss at ends of the interconnect.
    Type: Grant
    Filed: December 10, 2001
    Date of Patent: January 13, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Eiichi Umemura
  • Patent number: 6677237
    Abstract: A semiconductor chip having a vertical current conduction structure of a high reliability: a semiconductor device, a circuit substrate, and an electronic apparatus each containing such semiconductor chips; and a method for producing them. A prehole (3) is formed in a silicon substrate (10) surface-oriented to a (100) face by laser beam irradiation. The prehole (3) is enlarged by anisotropic etching to thereby form a through-hole (4). An electrically insulating film is formed on an inner wall of the through-hole (4). An electrically conducting material is provided inside the insulating film to thereby form a metal bump (30).
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: January 13, 2004
    Assignee: Seiko Epson Corporation
    Inventors: Kazushige Umetsu, Jun Amako, Shinichi Yotsuya, Katsuji Arakawa
  • Patent number: 6660568
    Abstract: MRAM cells are placed in the upper regions (BEOL) of an integrated circuit while simultaneously maintaining the dimensions needed for good MRAM performance and also for good operation of the logic circuit by setting the standard vertical dimension of the BEOL at the value that is suitable for logic circuits. In the areas where MRAM cells are to be placed, the (N+1)th level is etched separately. A standard etch is applied in logic areas and a deeper etch is applied in MRAM areas, so that the interlevel distance in the logic areas is the standard amount and the interlevel distance is MRAM areas is a lesser amount that is appropriate to accommodate the vertical dimensions of the material layers that go into the MRAM cells.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: December 9, 2003
    Assignee: International Business Machines Corporation
    Inventor: Michael C. Gaidis
  • Patent number: 6649504
    Abstract: In a method for building high aspect ratio electrodes in an electrode means (E) comprising parallel electrodes (&egr;1,&egr;2) in a dense arrangement, the electrodes are built in a repeatedly performed sequence of successive process steps involving the use of only one and the same photomask in every patterning step, the electrodes being formed with a desired aspect ratio according to the number of times the sequence is repeated, and top surface of the electrode means planarized in a final process step.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: November 18, 2003
    Assignee: Thin Film Electronics ASA
    Inventor: Hans Gude Gudesen
  • Publication number: 20030207562
    Abstract: In an integrated device, a via is formed in a substrate layer and a barrier layer is formed on the substrate layer in the via. A seed layer is formed on the barrier layer in the via. The seed layer includes a first material and a second material. The first material provides an ability for the second material to maintain an adherence to the barrier layer.
    Type: Application
    Filed: May 3, 2002
    Publication date: November 6, 2003
    Inventors: Richard A. Faust, Qing-Tang Jiang, Jiong-Ping Lu
  • Patent number: 6642146
    Abstract: The present invention pertains to methods for depositing a metal seed layer on a wafer substrate having a plurality of recessed features. Methods of the invention include at least two operations. A first portion of a seed layer is deposited such that metal ions impinge on the wafer substrate substantially perpendicular to the wafer substrate work surface. The first portion is characterized by heavy bottom coverage in the recessed features and minimal overhang on the apertures of the recessed features. A second portion of the metal seed layer is deposited with simultaneous re-sputter of at least part of the first portion that covers the bottom of the features. During re-sputter, part of the seed material on the bottom is redistributed to the sidewalls of the features. Seed layers of the invention have minimal overhang and excellent step coverage.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 4, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Robert Rozbicki, Michal Danek, Erich Klawuhn
  • Patent number: 6638844
    Abstract: A method of reducing substrate coupling and noise for one or more RFCMOS components comprising the following steps. A substrate having a frontside and a backside is provided. One or more RFCMOS components are formed over the substrate. One or more isolation structures are formed within the substrate proximate the one or more RFCOMS components. The backside of the substrate is etched to form respective trenches within the substrate and over at least the one or more isolation structures. The respective trenches are filled with dielectric material whereby the substrate coupling and noise for the one or more RFCMOS components are reduced.
    Type: Grant
    Filed: July 29, 2002
    Date of Patent: October 28, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Purakh Raj Verma, Sanford Chu, Chit Hwei, Lap Chan
  • Publication number: 20030181033
    Abstract: A mask and method for contact hole exposure. First, a mask including a transparent substrate, a phase shift layer installed on the transparent substrate to define a series of patterns having contact hole areas set in array, an a plurality of metal lines installed on the phase shift layer between the adjacent contact hole areas is provided. Then, an exposure is performed by transmitting a light source, such as deep ultraviolet (UV), extreme ultraviolet, or X-ray, through the mask after the metal lines absorb high degree diffraction waves.
    Type: Application
    Filed: July 18, 2002
    Publication date: September 25, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Yuan-Hsun Wu