Plug Formation (i.e., In Viahole) Patents (Class 438/675)
  • Patent number: 11871553
    Abstract: A semiconductor device includes a substrate including a logic cell region and a connection region, a dummy transistor on the connection region, an intermediate connection layer on the dummy transistor, the intermediate connection layer including a connection pattern electrically connected to the dummy transistor, a first metal layer on the intermediate connection layer, an etch stop layer between the intermediate connection layer and the first metal layer, the etch stop layer covering a top surface of the connection pattern, and a penetration contact extended from the first metal layer toward a bottom surface of the substrate penetrating the connection region.
    Type: Grant
    Filed: September 14, 2021
    Date of Patent: January 9, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Shaofeng Ding, Jeong Hoon Ahn, Yun Ki Choi
  • Patent number: 11824004
    Abstract: A method for fabricating a semiconductor device structure includes forming a first conductive layer over a semiconductor substrate, and forming a dielectric layer over the first conductive layer. The method also includes replacing a portion of the dielectric layer with an energy removable layer, and performing an etching process to form a first opening in the energy removable layer and a second opening in the dielectric layer. The first opening is in a pattern-dense region and the second opening is in a pattern-loose region. The method further includes depositing a lining layer over the energy removable layer and the dielectric layer. The lining layer entirely fills the first opening to form a first conductive plug, and the lining layer partially fills the second opening.
    Type: Grant
    Filed: February 24, 2022
    Date of Patent: November 21, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Teng-Yen Huang
  • Patent number: 11805648
    Abstract: According to one embodiment, a semiconductor memory device includes a stacked body which is provided on a substrate and in which an insulating film and an electrode film are alternately stacked. The semiconductor memory device also includes an insulating member which penetrates the stacked body in a stacking direction of the insulating film and the electrode film to thereby separate the stacked body. The semiconductor memory device also includes a semiconductor pillar which penetrates the stacked body in the stacking direction. A maximum portion of the insulating member where a first distance from a side surface of the insulating member to a central plane of the insulating member becomes maximum and a maximum portion of the semiconductor pillar where a second distance from a side surface of the semiconductor pillar to a center line of the semiconductor pillar becomes maximum being provided in different positions in the stacking direction.
    Type: Grant
    Filed: March 17, 2021
    Date of Patent: October 31, 2023
    Assignee: Kioxia Corporation
    Inventor: Kotaro Noda
  • Patent number: 11733769
    Abstract: In some embodiments, a computer system receives data representing a pose of at least a first portion of a user and causes presentation of an avatar that includes a respective avatar feature corresponding to the first portion of the user and presented having a variable display characteristic that is indicative of a certainty of the pose of the first portion of the user. In some embodiments, a computer system receives data indicating current activity of one or more users is activity of a first type and, in response, updates a representation of a user having a first appearance based on a first appearance template. The system receives second data indicating current activity of the one or more users and, in response, updates the appearance of the representation of the first user based on the current activity of the one or more users using the first or a second appearance template.
    Type: Grant
    Filed: June 2, 2021
    Date of Patent: August 22, 2023
    Assignee: Apple Inc.
    Inventors: Gary Ian Butcher, Dorian D. Dargan, Nicolas Scapel, Rupert Burton, Nicholas W. Henderson, Jason Rickwald, Giancarlo Yerkes, Kristi E. S. Bauerly
  • Patent number: 11723218
    Abstract: A device includes a substrate having a first side and a second side, a first transistor that includes a first gate over a first protrusion and a first source region and a first drain region interposing the first protrusion, a first buried contact disposed adjacent to the first protrusion and having at least a portion extending into the substrate, a first contact plug disposed over the first drain region, first conductive lines disposed over the first contact plug and electrically connecting to the first drain region by the first contact plug, first via penetrating through the substrate and connecting the first buried contact; and second conductive lines disposed over the second side of the substrate and electrically connecting to the first via. The first buried contact is electrically connecting to the first source region or the first gate.
    Type: Grant
    Filed: March 29, 2021
    Date of Patent: August 8, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shy-Jay Lin, Chien-Min Lee, Hiroki Noguchi, Mingyuan Song, Yen-Lin Huang, William Joseph Gallagher
  • Patent number: 11652036
    Abstract: Disclosed herein are via-trace structures with improved alignment, and related package substrates, packages, and computing device. For example, in some embodiments, a package substrate may include a conductive trace, and a conductive via in contact with the conductive trace. The alignment offset between the conductive trace and the conductive via may be less than 10 microns, and conductive trace may have a bell-shaped cross-section or the conductive via may have a flared shape.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: May 16, 2023
    Inventors: Jeremy Ecton, Hiroki Tanaka, Kristof Kuwawi Darmawikarta, Oscar Ojeda, Arnab Roy, Nicholas Haehn
  • Patent number: 11605736
    Abstract: Semiconductor devices and methods of forming the same are provided. In one embodiment, a semiconductor device includes a fin extending from a substrate, a gate structure over a channel region of the fin, a source/drain contact over a source/drain region of the fin, a spacer extending along a sidewall of the gate structure, a liner extending along a sidewall of the source/drain contact, a gate contact via over and electrically coupled to the gate structure, and a source/drain contact via over and electrically coupled to the source/drain contact. The gate contact via extends through a first dielectric layer such that a portion of the first dielectric layer interposes between the gate contact via and the spacer. The source/drain contact via extends through a second dielectric layer such that a portion of the second dielectric layer interposes between the source/drain contact via and the liner.
    Type: Grant
    Filed: June 21, 2021
    Date of Patent: March 14, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jia-Chuan You, Chia-Hao Chang, Tien-Lu Lin, Yu-Ming Lin, Chih-Hao Wang
  • Patent number: 11563029
    Abstract: A 3D-NAND memory includes a transistor formed in a first side of a periphery circuit substrate, a memory cell stack formed over a first side of a cell array substrate, and a first connection structure formed over an opposing second side of the cell array substrate. The memory cell stack includes a doped region formed in the first side of the cell array substrate and coupled to the first connection structure through a first VIA, a common source structure that extends from the doped region toward the first side of the periphery circuit substrate, and a second connection structure that is positioned over and coupled to the common source structure. The first side of the cell array substrate and the first side of the periphery circuit substrate are aligned facing each other so that the transistor is coupled to the second connection structure.
    Type: Grant
    Filed: May 26, 2021
    Date of Patent: January 24, 2023
    Assignee: Yangtze Memory Technologies Co., Ltd.
    Inventors: Jin Yong Oh, Youn Cheul Kim
  • Patent number: 11488864
    Abstract: A semiconductor device structure includes: at least one inter-metal layer stacked in a vertical direction; and a 1st via structure penetrating the at least one inter-metal layer, wherein, in the at least one inter-metal layer, a 1st vertical side of the 1st via structure does not contact a barrier metal pattern while a 2nd vertical side of the 1st via structure opposite to the 1st vertical side of the 1st via structure contacts the barrier metal pattern.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: November 1, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Taeyong Bae, Hoonseok Seo, Euibok Lee
  • Patent number: 11430694
    Abstract: A method includes forming a transistor, which includes forming a gate dielectric on a semiconductor region, forming a gate electrode over the gate dielectric, and forming a source/drain region extending into the semiconductor region. The method further includes forming a source/drain contact plug over and electrically coupling to the source/drain region, and forming a gate contact plug over and in contact with the gate electrode. At least one of the forming the gate electrode, the forming the source/drain contact plug, and the forming the gate contact plug includes forming a metal nitride barrier layer, and depositing a metal-containing layer over and in contact with the metal nitride barrier layer. The metal-containing layer includes at least one of a cobalt layer and a metal silicide layer.
    Type: Grant
    Filed: November 2, 2020
    Date of Patent: August 30, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chia-Ching Tsai, Yi-Wei Chiu, Li-Te Hsu
  • Patent number: 11387107
    Abstract: Processes are provided herein for deposition of organic films. Organic films can be deposited, including selective deposition on one surface of a substrate relative to a second surface of the substrate. For example, polymer films may be selectively deposited on a first metallic surface relative to a second dielectric surface. Selectivity, as measured by relative thicknesses on the different layers, of above about 50% or even about 90% is achieved. The selectively deposited organic film may be subjected to an etch process to render the process completely selective. Processes are also provided for particular organic film materials, independent of selectivity.
    Type: Grant
    Filed: October 23, 2020
    Date of Patent: July 12, 2022
    Assignee: ASM IP HOLDING B.V.
    Inventors: Eva E. Tois, Hidemi Suemori, Viljami J. Pore, Suvi P. Haukka, Varun Sharma
  • Patent number: 11342257
    Abstract: A carrier board and a power module using the same are disclosed. The carrier board includes a main body, two metal-wiring layers and at least one metal block. The main body includes at least two terminals and a surface. The two terminals are disposed on the surface. The two metal-wiring layers are disposed on the main body to form two parts of metal traces connected to the two terminals, respectively. The at least one metal block is embedded in the main body and connected to one of the two terminals. A thickness of the two parts of metal traces is less than that of the metal block. The two terminals connected by the two parts of metal traces have a loop inductance less than or equal to 1.4 nH calculated at a frequency greater than 1 MHz.
    Type: Grant
    Filed: January 21, 2021
    Date of Patent: May 24, 2022
    Assignee: DELTA ELECTRONICS (SHANGHAI) CO., LTD.
    Inventors: Shouyu Hong, Haibin Xu, Tao Wang, Yan Tong, Weicheng Zhou, Ganyu Zhou, Qingdong Chen, Zhenqing Zhao
  • Patent number: 11329024
    Abstract: A semiconductor package including a first device layer including first semiconductor devices, a first cover insulating layer, and first through-electrodes passing through at least a portion of the first device layer, a second device layer second semiconductor devices, a second cover insulating layer, and second through-electrodes passing through at least a portion of the second device layer, the second semiconductor devices vertically overlapping the first semiconductor devices, respectively, the second cover insulating layer in contact with the first cover insulating layer a third device layer including an upper semiconductor chip, the upper semiconductor chip vertically overlapping both at least two of first semiconductor devices and at least two of the second semiconductor devices, and device bonded pads passing through the first and second cover insulating layers, the device bonded pads electrically connecting the first and second through-electrodes to the upper semiconductor chip may be provided.
    Type: Grant
    Filed: July 29, 2020
    Date of Patent: May 10, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-seok Hong, Jin-woo Park
  • Patent number: 11289374
    Abstract: Processing methods comprise forming a gap fill layer comprising tungsten or molybdenum by exposing a substrate surface having at least one feature thereon sequentially to a metal precursor and a reducing agent comprising hydrogen to form the gap fill layer in the feature, wherein there is not a nucleation layer between the substrate surface and the gap fill layer.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: March 29, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yihong Chen, Kelvin Chan, Xinliang Lu, Srinivas Gandikota, Yong Wu, Susmit Singha Roy, Chia Cheng Chin
  • Patent number: 11244903
    Abstract: Described are methods for forming a tungsten conductive structure over a substrate, such as a semiconductor substrate. Described examples include forming a silicon-containing material, such as a doped silicon-containing material, over a supporting structure. The silicon-containing material is then subsequently converted to a tungsten seed material containing the dopant material. A tungsten fill material of lower resistance will then be formed over the tungsten seed material.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: February 8, 2022
    Assignee: Micron Technology, Inc.
    Inventors: Jordan D. Greenlee, Christian George Emor, Travis Rampton, Everett Allen McTeer, Rita J. Klein
  • Patent number: 11222975
    Abstract: An apparatus, such as a memory array, can have a memory cell coupled to a first digit line (e.g., a local digit line) at a first level. A second digit line (e.g., hierarchical digit line) at a second level can be coupled to a main sense amplifier. A charge sharing device at a third level between the first and second levels can be coupled to the first digit line and to a connector. A vertical transistor at the third level can be coupled between the first digit line and the connector. A contact can be coupled between the connector and the second digit line.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: January 11, 2022
    Assignee: Micron Technology, Inc.
    Inventors: Fatma Arzum Simsek-Ege, Steve V. Cole, Scott J. Derner, Toby D. Robbs
  • Patent number: 11217485
    Abstract: A semiconductor device and method of manufacture are provided in which a passivation layer is patterned. In embodiments, by-products from the patterning process are removed using the same etching chamber and at the same time as the removal of a photoresist utilized in the patterning process. Such processes may be used during the manufacturing of FinFET devices.
    Type: Grant
    Filed: January 14, 2020
    Date of Patent: January 4, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Hao Chen, Che-Cheng Chang, Horng-Huei Tseng, Wen-Tung Chen, Yu-Cheng Liu
  • Patent number: 11094788
    Abstract: A semiconductor device includes a substrate, a source/drain structure, a source/drain contact, a gate structure, a first etching stop layer, and a via contact. The source/drain structure is over the substrate. The source/drain contact is over the source/drain contact. The gate structure is over the substrate. The first etching stop layer is over the gate structure, in which the first etching stop layer includes a first portion and a second portion, and a thickness of the first portion is lower than a thickness the second portion. The via contact extends along a top surface of the first portion of the first etching stop layer to a sidewall of the second portion of the first etching stop layer.
    Type: Grant
    Filed: August 21, 2019
    Date of Patent: August 17, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Li-Zhen Yu, Chia-Hao Chang, Cheng-Chi Chuang, Yu-Ming Lin, Chih-Hao Wang
  • Patent number: 11094713
    Abstract: A three-dimensional (3D) memory device includes a memory stack over a substrate. The memory stack includes interleaved conductor layers and insulating layers. The 3D memory device also includes channel structures extending vertically in the memory stack. The 3D memory device further includes a source structure extending in the memory stack. The source structure includes first and second source contacts separated by a support structure. The source structure also includes an adhesion layer. At least a portion of the adhesion layer is between the first and second source contacts and conductively connects the first and second source contacts.
    Type: Grant
    Filed: November 20, 2019
    Date of Patent: August 17, 2021
    Assignee: YANGTZE MEMORY TECHNOLOGIES CO., LTD.
    Inventors: Qingqing Wang, Wei Xu, Pan Huang, Ping Yan, Zongliang Huo, Wenbin Zhou
  • Patent number: 11037800
    Abstract: Some embodiments include a method of patterning a target material. An assembly is provided which has a masking material over the target material. First lines are formed over the assembly. The first lines extend along a first direction and are laterally spaced from one another by first spaces. Second lines are formed over the first lines. The second lines extend along a second direction which crosses the first direction, and are laterally spaced from one another by second spaces. The second lines cross the first lines at first crossing regions. The second spaces cross the first spaces at second crossing regions. A pattern includes the first and second crossing regions. The pattern is transferred into the masking material to form holes in the masking material in locations directly under the first and second crossing regions. The holes are extended into the target material.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: June 15, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Tsuyoshi Tomoyama, Hiromitsu Oshima, Tomohiro Iwaki
  • Patent number: 11017915
    Abstract: A stretchable and transparent electronic structure may generally include a stretchable elastomer layer; optionally, a metal adhesion layer on top of the stretchable elastomer layer; a metal alloying layer on top of the metal adhesion layer; and a liquid metal, wherein the structure is colorless and transparent when viewed under visible light. Methods of making the stretchable and transparent electronic structure are also described.
    Type: Grant
    Filed: January 23, 2020
    Date of Patent: May 25, 2021
    Assignee: Carnegie Mellon University
    Inventors: Carmel Majidi, Chengfeng Pan, Kitty Kumar
  • Patent number: 10998421
    Abstract: A method includes removing a dummy gate to leave a trench between gate spacers, forming a gate dielectric extending into the trench, depositing a metal layer over the gate dielectric, with the metal layer including a portion extending into the trench, depositing a filling region into the trench, with the metal layer have a first and a second vertical portion on opposite sides of the filling region, etching back the metal layer, with the filling region at least recessed less than the metal layer, and remaining parts of the portion of the metal layer forming a gate electrode, depositing a dielectric material into the trench, and performing a planarization to remove excess portions of the dielectric material. A portion of the dielectric material in the trench forms at least a portion of a dielectric hard mask over the gate electrode.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: May 4, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Chin Chang, Wei-Hao Wu, Li-Te Lin, Pinyen Lin
  • Patent number: 10965172
    Abstract: Methods, systems, and apparatus for a wireless charging apparatus. The wireless charging apparatus includes a layer or sheet of polymeric or similarly compliant material. The wireless charging apparatus includes an inductive loop embedded within the layer or sheet of polymeric material. The inductive loop has a first shape and a first size. The wireless charging apparatus includes one or more actuators. The one or more actuators are configured to move or shape the layer or sheet of polymeric material and the inductive loop. The wireless charging apparatus includes a controller. The controller is configured to determine a second shape or a second size for the inductive loop. The controller is configured to move or adjust the one or more actuators to form the inductive loop into a second shape or a second size.
    Type: Grant
    Filed: August 14, 2018
    Date of Patent: March 30, 2021
    Assignee: TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
    Inventors: Ercan M. Dede, Chungchih Chu, Paul Schmalenberg
  • Patent number: 10903109
    Abstract: Methods of forming high aspect ratio openings. The method comprises removing a portion of a dielectric material at a temperature less than about 0° C. to form at least one opening in the dielectric material. The at least one opening comprises an aspect ratio of greater than about 30:1. A protective material is formed in the at least one opening and on sidewalls of the dielectric material at a temperature less than about 0° C. Methods of forming high aspect ratio features are also disclosed, as are semiconductor devices.
    Type: Grant
    Filed: December 29, 2017
    Date of Patent: January 26, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Ken Tokashiki, John A. Smythe, Gurtej S. Sandhu
  • Patent number: 10847413
    Abstract: A semiconductor device and a method of forming the same are provided. A method includes forming a gate over a semiconductor structure. An epitaxial source/drain region is formed adjacent the gate. A dielectric layer is formed over the epitaxial source/drain region. An opening extending through the dielectric layer and exposing the epitaxial source/drain region is formed. A conductive material is non-conformally deposited in the opening. The conductive material fills the opening in a bottom-up manner.
    Type: Grant
    Filed: August 24, 2018
    Date of Patent: November 24, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mrunal A Khaderbad, Yasutoshi Okuno, Sung-Li Wang, Pang-Yen Tsai, Shen-Nan Lee, Teng-Chun Tsai
  • Patent number: 10847716
    Abstract: A phase change memory device includes a bottom electrode, a bottom memory layer, a top memory layer, and a top electrode. The bottom memory layer is over the bottom electrode. The bottom memory layer has a first height and includes a tapered portion and a neck portion. The tapered portion has a second height. A ratio of the second height to the first height is in a range of about 0.2 to about 0.5. The neck portion is between the tapered portion and the bottom electrode. The top memory layer is over the bottom memory layer. The tapered portion of the bottom memory layer tapers in a direction from the top memory layer toward the neck portion. The top electrode is over the top memory layer.
    Type: Grant
    Filed: May 16, 2019
    Date of Patent: November 24, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tung-Ying Lee, Shao-Ming Yu, Yu-Chao Lin
  • Patent number: 10802392
    Abstract: Embodiments described herein relate to apparatus and methods for removing one or more films from a photomask to create a black border and one or more pellicle anchor areas thereon. A photomask substrate is exposed by removing the one or more films in the black border and pellicle anchor areas. The black border prevents a pattern on the photomask from overlapping a pattern on a substrate being processed. To create the black border and pellicle anchor areas, a laser beam is projected through a lens and focused on a surface of the films. The films are ablated by the laser beam without damaging the photomask substrate.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: October 13, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Eli Dagan
  • Patent number: 10756093
    Abstract: Some embodiments include a method of forming an integrated assembly. Conductive blocks are formed over a construction. Each of the conductive blocks is over a set which includes a pair of storage-element-contact-regions and a digit-line-contact-region. Each of the conductive blocks is entirely laterally surrounded by first insulative material. Central regions of the conductive blocks are removed to split each of the conductive blocks into a first conductive portion over one of the storage-element-contact-regions and a second conductive portion over another of the storage-element-contact-regions. Second insulative material is formed between the first and second conductive portions. Digit-lines are coupled with the digit-line-contact-regions, and storage-elements are coupled with the storage-element-contact-regions.
    Type: Grant
    Filed: March 6, 2019
    Date of Patent: August 25, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Deepak Chandra Pandey, Kamal M. Karda, Haitao Liu
  • Patent number: 10741570
    Abstract: A nonvolatile memory device includes an active region extending in a first direction and including a source region and a drain region that are respectively disposed at both ends of the active region, a gate electrode pattern extending in a second direction and disposed between the source region and the drain region, wherein the second direction extends across the first direction, a gate insulation pattern disposed between the gate electrode pattern and the active region, a source contact plug and a drain contact plug respectively coupled to the source region and the drain region, and a coupling contact plug disposed over the gate electrode pattern and insulated from the gate electrode pattern.
    Type: Grant
    Filed: January 5, 2017
    Date of Patent: August 11, 2020
    Assignee: SK hynix Inc.
    Inventor: Sung Kun Park
  • Patent number: 10727346
    Abstract: A finFET device and a method of forming are provided. The device includes a transistor comprising a gate electrode and a first source/drain region next to the gate electrode, the gate electrode being disposed over a first substrate. The device also includes a first dielectric layer extending along the first source/drain region, and a second dielectric layer overlying the first dielectric layer. The device also includes a contact disposed in the first dielectric layer and in the second dielectric layer, the contact contacting the gate electrode and the first source/drain region. A first portion of the first dielectric layer extends between the contact and the gate electrode. The contact extends along a sidewall of the first portion of the first dielectric layer and a first surface of the first portion of the first dielectric layer, the first surface of the first portion being farthest from the first substrate.
    Type: Grant
    Filed: November 27, 2018
    Date of Patent: July 28, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Xi-Zong Chen, Te-Chih Hsiung, Cha-Hsin Chao, Yi-Wei Chiu
  • Patent number: 10707242
    Abstract: According to one embodiment, a semiconductor device includes an insulating substrate, a first semiconductor layer located above the insulating substrate, a second semiconductor layer located above the insulating substrate, an insulating layer which covers the first semiconductor layer and the second semiconductor layer, and includes a first contact hole reaching the first semiconductor layer and a second contact hole reaching the second semiconductor layer, a barrier layer which covers one of the first semiconductor layer inside the first contact hole and the second semiconductor layer inside the second contact hole, and a first conductive layer which is in contact with the barrier layer.
    Type: Grant
    Filed: November 26, 2018
    Date of Patent: July 7, 2020
    Assignee: Japan Display Inc.
    Inventors: Akihiro Hanada, Masayoshi Fuchi
  • Patent number: 10700009
    Abstract: A method is provided for void-free Ru metal filling of features in a substrate. The method includes providing a substrate containing features, depositing a Ru metal layer in the features, removing the Ru metal layer from a field area around an opening of the features, and depositing additional Ru metal in the features, where the additional Ru metal is deposited in the features at a higher rate than on the field area. According to one embodiment, the additional Ru metal is deposited until the features are fully filled with Ru metal.
    Type: Grant
    Filed: October 1, 2018
    Date of Patent: June 30, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Kai-Hung Yu, Nicholas Joy, Eric Chih Fang Liu, David L. O'Meara, David Rosenthal, Masanobu Igeta, Cory Wajda, Gerrit J. Leusink
  • Patent number: 10685880
    Abstract: A method includes providing a device structure having an isolation structure, a fin adjacent the isolation structure and taller than the isolation structure, and gate structures over the fin and the isolation structure. The isolation structure, the fin, and the gate structures define a first trench over the fin and a second trench over the isolation structure. The method further includes forming a first contact etch stop layer (CESL) over the gate structures, the fin, and the isolation structure; depositing a first inter-layer dielectric (ILD) layer over the first CESL and filling in the first and second trenches; and recessing the first ILD layer such that the first ILD layer in the first trench is removed and the first ILD layer in the second trench is recessed to a level that is about even with a top surface of the fin.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: June 16, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yun Lee, Chen-Ming Lee, Fu-Kai Yang, Yi-Jyun Huang, Sheng-Hsiung Wang, Mei-Yun Wang
  • Patent number: 10651289
    Abstract: A semiconductor device includes a first field effect transistor (FET) including a first gate dielectric layer and a first gate electrode. The first gate electrode includes a first lower metal layer and a first upper metal layer. The first lower metal layer includes a first underlying metal layer in contact with the first gate dielectric layer and a first bulk metal layer. A bottom of the first upper metal layer is in contact with an upper surface of the first underlying metal layer and an upper surface of the first bulk metal layer.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: May 12, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yao-De Chiou, Janet Chen, Jeng-Ya Yeh
  • Patent number: 10553600
    Abstract: According to one embodiment, a semiconductor memory device includes a first conductive layer, a first semiconductor body, a second semiconductor body, a first memory layer, and a second memory layer. The first conductive layer includes first to fourth extension regions, and a first connection region. The first extension region extends in a first direction. The second extension region extends in the first direction and is arranged with the first extension region in the first direction. The third extension region extends in the first direction and is arranged with the first extension region in a second direction crossing the first direction. The fourth extension region extends in the first direction, is arranged with the third extension region in the first direction, and is arranged with the second extension region in the second direction.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: February 4, 2020
    Assignee: Toshiba Memory Corporation
    Inventors: Takuya Inatsuka, Tadashi Iguchi, Murato Kawai, Hisashi Kato, Megumi Ishiduki
  • Patent number: 10546862
    Abstract: Some embodiments include an integrated assembly having active-region-pillars extending upwardly from a base. Each of the active-region-pillars has a pair of storage-element-contact-regions, and a digit-line-contact-region between the storage-element-contact-regions. The integrated assembly includes, along a cross-section, a first digit-line-contact-region adjacent a first storage-element-contact-region. The first digit-line-contact-region is recessed relative to the first storage-element-contact-region. A first digit-line is coupled with the first digit-line-contact-region. A second digit-line is laterally offset from the first digit-line. An insulative material is between the first digit-line and the first storage-element-contact-region. A cup-shaped indentation extends into the insulative material and the first storage-element-contact-region. Insulative spacers are along sidewalls of the first and second digit-lines, and include first material.
    Type: Grant
    Filed: January 15, 2019
    Date of Patent: January 28, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Guangjun Yang, Suraj J. Mathew
  • Patent number: 10464325
    Abstract: A method for processing a silicon substrate includes forming a structure having a bottom surface and a depth of 200 ?m or more or 300 ?m or more from a first surface of a silicon substrate, forming a protective film on an inner wall of the structure, and performing plasma etching so as to selectively remove the protective film disposed on the bottom surface of the structure with respect to the protective film disposed on the substantially perpendicular side wall of the structure, wherein the plasma etching is performed under the condition in which plasma with a sheath length at least 10 times the depth when the depth is 200 ?m or more, or at least 5 time the depth when the depth is 300 ?m or more, is generated and a mean free path of ions generated in the plasma is longer than the sheath length.
    Type: Grant
    Filed: March 21, 2017
    Date of Patent: November 5, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Atsunori Terasaki
  • Patent number: 10403573
    Abstract: A method for fabricating a semiconductor substrate is disclosed, which includes: forming a first dielectric layer on a substrate body; foil ling a plurality of first vias penetrating the first dielectric layer to expose portions of the substrate body; forming a second dielectric layer on the first dielectric layer and the exposed portions of the substrate body, wherein the second dielectric layer extends on walls of the first vias; etching the second dielectric layer to form a plurality of openings communicating with the first vias and form a plurality of second vias penetrating the second dielectric layer in the first vias so as to expose portions of the substrate body, leaving the second dielectric layer on the walls of the first vias; and forming a circuit layer in the openings, and forming a plurality of conductive vias in the second vias for electrically connecting the circuit layer and the substrate body.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: September 3, 2019
    Assignee: Siliconware Precision Industries Co., Ltd.
    Inventor: Wei-Che Chang
  • Patent number: 10363585
    Abstract: A cleaning liquid which includes 3-alkoxy-3-methyl-1-butanol represented by the following general formula (1); at least one of diethylene glycol monomethyl ether and triethylene glycol monomethyl ether; and quaternary ammonium hydroxide: in which R1 represents an alkyl group having 1 to 5 carbon atoms.
    Type: Grant
    Filed: April 24, 2017
    Date of Patent: July 30, 2019
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yuriko Shirai, Ryusuke Uchida
  • Patent number: 10332788
    Abstract: Provided herein may be a method of manufacturing a semiconductor device. The method may include: forming a first stack in which a first pad region, a second pad region and first dummy region are successively defined; forming a second stack on the first stack; forming a first pad structure and a first reference pattern by patterning the second stack, the first pad structure being disposed on the first pad region and having a stepped shape, the first reference pattern being disposed on the first dummy region of the first stack; forming a first pad mask pattern on the first stack, the first pad mask pattern being aligned by measuring the distance from the first reference pattern thereto and covering the first and second pad regions; and forming a second pad structure having a stepped shape by patterning the second pad region while shrinking the first pad mask pattern.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: June 25, 2019
    Assignee: SK hynix Inc.
    Inventor: Yoo Hyun Noh
  • Patent number: 10211054
    Abstract: Embodiments of the invention are directed to methods and resulting structures for forming a storage element using phase change memory (PCM). In a non-limiting embodiment of the invention, a PCM layer is formed over a surface of a bottom electrode. A top electrode is formed over the PCM layer using a tone inversion process that includes a sacrificial layer. A PCM pillar is then formed by patterning the PCM layer to expose a surface of the bottom electrode. The tone inversion process enables a sub-50 nm PCM pillar diameter.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: February 19, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew J. BrightSky, Robert L. Bruce, John M. Papalia, HsinYu Tsai
  • Patent number: 10199353
    Abstract: A microelectronic interposer for a microelectronic package may be fabricated, wherein a first microelectronic device within the microelectronic package is in electronic communication with at least one second microelectronic device through the microelectronic interposer which positions the at least one second microelectronic device outside a periphery of the first microelectronic device. The microelectronic interposer may further include at least one recess for achieving a desired height and/or enabling various configurations for the microelectronic package.
    Type: Grant
    Filed: September 12, 2016
    Date of Patent: February 5, 2019
    Assignee: Intel Corporation
    Inventors: Navneet K. Singh, Ranjul Balakrishnan
  • Patent number: 10177174
    Abstract: According to one embodiment, a semiconductor device includes an insulating substrate, a first semiconductor layer located above the insulating substrate, a second semiconductor layer located above the insulating substrate, an insulating layer which covers the first semiconductor layer and the second semiconductor layer, and includes a first contact hole reaching the first semiconductor layer and a second contact hole reaching the second semiconductor layer, a barrier layer which covers one of the first semiconductor layer inside the first contact hole and the second semiconductor layer inside the second contact hole, and a first conductive layer which is in contact with the barrier layer.
    Type: Grant
    Filed: June 8, 2017
    Date of Patent: January 8, 2019
    Assignee: Japan Display Inc.
    Inventors: Akihiro Hanada, Masayoshi Fuchi
  • Patent number: 10170543
    Abstract: A fin field effect transistor device with air gaps, including a source/drain layer on a substrate, one or more vertical fin(s) in contact with source/drain layer, a gate metal fill that forms a portion of a gate structure on each of the one or more vertical fin(s), and a bottom void space between the source/drain layer and the gate metal fill.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Hari V. Mallela, Robert R. Robison, Reinaldo A. Vega, Rajasekhar Venigalla
  • Patent number: 10042246
    Abstract: A photomask includes a transparent substrate, a mask pattern formed on the substrate, and a protective layer pattern covering side walls of the mask pattern, wherein a top of the protective layer pattern is exposed.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: August 7, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Keun Oh, Hyung-Ho Ko, Byung-Gook Kim, Jae-Hyuck Choi, Jun-Youl Choi
  • Patent number: 9978639
    Abstract: Methods for forming layers on a substrate having a feature are provided herein. In some embodiments, a method for forming layers on a substrate having a features may include depositing a copper layer within the feature, wherein a thickness of the copper layer disposed on upper corners of an opening of the feature and on an upper portion of a sidewall proximate the upper corners of the feature is greater than the thickness of the copper layer disposed on a lower portion of a sidewall of the feature proximate a bottom of the feature; and exposing the substrate to a plasma formed from a process gas comprising hydrogen (H2) gas to selectively etch the copper layer proximate the upper corners of the opening and the upper portion of the sidewall proximate the upper corners, without substantially etching the copper layer proximate the lower portion of the sidewall proximate the bottom of the feature.
    Type: Grant
    Filed: October 27, 2016
    Date of Patent: May 22, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Siew Kit Hoi, Arvind Sundarrajan, Jiao Song
  • Patent number: 9966530
    Abstract: A resistive random access memory device and a method for fabricating the same are presented. The resistive random access memory device includes a first electrode having a first dopant within. A second electrode is disposed on the first electrode. A resistive switching layer is disposed between the first electrode and the second electrode.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: May 8, 2018
    Assignee: WINBOND ELECTRONICS CORP.
    Inventors: Wen-Yueh Jang, Chia Hua Ho
  • Patent number: 9935194
    Abstract: A 3D semiconductor integrated circuit device and a method of manufacturing the same are provided. An active pillar is formed on a semiconductor substrate, and an interlayer insulating layer is formed so that the active pillar is buried in the interlayer insulating layer. The interlayer insulating layer is etched to form a hole so that the active pillar and a peripheral region of the active pillar are exposed. An etching process is performed on the peripheral region of the active pillar exposed through the hole by a certain depth, and a space having the depth is provided between the active pillar and the interlayer insulating layer. A silicon material layer is formed to be buried in the space having the depth, and an ohmic contact layer is formed on the silicon material layer and the active pillar.
    Type: Grant
    Filed: December 6, 2016
    Date of Patent: April 3, 2018
    Assignee: SK Hynix Inc.
    Inventors: Jin Ha Kim, Jun Kwan Kim, Kang Sik Choi, Su Jin Chae, Young Ho Lee
  • Patent number: 9899320
    Abstract: An interconnection and a method for manufacturing thereof are provided. The interconnection includes a first conductive layer, a dielectric layer, a second conductive layer, an insulation layer, and a plurality of air gaps. The first conductive layer is disposed over a semiconductor substrate. The dielectric layer is disposed over the first conductive layer. The second conductive layer penetrates through the dielectric layer to electrically connect with the first conductive layer. The insulation layer is located between a portion of the dielectric layer and the second conductive layer, and a material of the insulation layer and a material of the dielectric layer are different. The air gaps are located between another portion of the dielectric layer and the second conductive layer.
    Type: Grant
    Filed: March 16, 2016
    Date of Patent: February 20, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Che-Cheng Chang, Chih-Han Lin, Horng-Huei Tseng
  • Patent number: 9842766
    Abstract: A semiconductor device according to an embodiment, includes a plurality of wires, a first dielectric film, and a second dielectric film. The plurality of wires are arranged above a semiconductor substrate so as to extend in a first direction and aligned via a first cavity. The first dielectric film has a plurality of portions arranged above the plurality of wires so as to extend in a second direction substantially perpendicular to the plurality of wires and aligned along the first direction via a second cavity leading to the first cavity. The second dielectric film is formed above the first dielectric film so as to cover the second cavity.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: December 12, 2017
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Masato Endo, Kazunori Masuda, Yukio Nishida, Naoya Kami, Yuuichi Tatsumi, Naoyuki Kondo