Plug Formation (i.e., In Viahole) Patents (Class 438/675)
  • Publication number: 20140030889
    Abstract: Methods of filling features with low-resistivity tungsten layers having good fill without use of a nucleation layer are provided. In certain embodiments, the methods involve an optional treatment process prior to chemical vapor deposition of tungsten in the presence of a high partial pressure of hydrogen. According to various embodiments, the treatment process can involve a soaking step or a plasma treatment step. The resulting tungsten layer reduces overall contact resistance in advanced tungsten technology due to elimination of the conventional tungsten nucleation layer.
    Type: Application
    Filed: July 27, 2012
    Publication date: January 30, 2014
    Inventors: Feng Chen, Tsung-Han Yang, Juwen Gao, Michal Danek
  • Publication number: 20140030890
    Abstract: A number of first hard mask portions are formed on a dielectric layer to vertically shadow a respective one of a number of underlying gate structures. A number of second hard mask filaments are formed adjacent to each side surface of each first hard mask portion. A width of each second hard mask filament is set to define an active area contact-to-gate structure spacing. A first passage is etched between facing exposed side surfaces of a given pair of neighboring second hard mask filaments and through a depth of the semiconductor wafer to an active area. A second passage is etched through a given first hard mask portion and through a depth of the semiconductor wafer to a top surface of the underlying gate structure. An electrically conductive material is deposited within both the first and second passages to respectively form an active area contact and a gate contact.
    Type: Application
    Filed: September 23, 2013
    Publication date: January 30, 2014
    Applicant: TELA INNOVATIONS, INC.
    Inventor: Michael C. Smayling
  • Patent number: 8637401
    Abstract: A method is explained that allows for a via to be filled with a dispensed material while the substrate is in continuous movement. A device is described that allows for a via to be filled while the target substrate is in continuous movement. The device consists of a material jetting system, a machine vision system that can detect the optimum trigger point, an electronic control circuit, a feedback mechanism and a web handling provision.
    Type: Grant
    Filed: March 29, 2010
    Date of Patent: January 28, 2014
    Inventors: Anthony Nicholas Brady Garvan, III, Christoph Erben, Darren Lochun
  • Patent number: 8637995
    Abstract: Methods of forming semiconductor devices include providing a substrate including a layer of semiconductor material on a layer of electrically insulating material. A first metallization layer is formed over a first side of the layer of semiconductor material. Through wafer interconnects are foamed at least partially through the substrate. A second metallization layer is formed over a second side of the layer of semiconductor material opposite the first side thereof. An electrical pathway is provided that extends through the first metallization layer, the substrate, and the second metallization layer between a first processed semiconductor structure carried by the substrate on the first side of the layer of semiconductor material and a second processed semiconductor structure carried by the substrate on the first side of the layer of semiconductor material. Semiconductor structures are fabricated using such methods.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: January 28, 2014
    Assignee: SOITEC
    Inventor: Mariam Sadaka
  • Patent number: 8637908
    Abstract: A method includes depositing a dummy fill material over exposed portions of a substrate and a gate stack disposed on the substrate, removing portions of the dummy fill material to expose portions of the substrate, forming a layer of spacer material over the exposed portions of the substrate, the dummy fill material and the gate stack, removing portions of the layer of spacer material to expose portions of the substrate and the dummy fill material, depositing a dielectric layer over the exposed portions of the spacer material, the substrate, and the gate stack, removing portions of the dielectric layer to expose portions of the spacer material, removing exposed portions of the spacer material to expose portions of the substrate and define at least one cavity in the dielectric layer, and depositing a conductive material in the at least one cavity.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Su Chen Fan, David V. Horak, Sivananda K. Kanakasabapathy
  • Patent number: 8637962
    Abstract: Semiconductor dice comprise at least one bond pad on an active surface of the semiconductor die. At least one blind hole extends from a back surface of the semiconductor die opposing the active surface, through a thickness of the semiconductor die, to an underside of the at least one bond pad. At least one quantity of passivation material covers at least a sidewall surface of the at least one blind hole. At least one conductive material is disposed in the at least one blind hole adjacent and in electrical communication with the at least one bond pad and adjacent the at least one quantity of passivation material.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: January 28, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Sidney B. Rigg
  • Patent number: 8637397
    Abstract: To provide a method of manufacturing a through hole electrode substrate which comprises forming a plurality of through holes passing through the front and back of a wafer-shaped substrate, forming an insulation film on a surface of the substrate and the though hole, forming a seed layer from a metal on at least one side of the substrate and/or the through hole, forming a metal layer having a cap shape on a bottom part of the through hole on a surface on which the seed layer is formed by an electrolytic plating method supplying direct current to the seed layer for a first time period, and filling a metal material into the plurality of through holes by an electrolytic plating method supplying a pulse current to the seed layer and the metal layer.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: January 28, 2014
    Assignee: Dai Nippon Printing Co., Ltd
    Inventors: Shinji Maekawa, Myuki Suzuki
  • Publication number: 20140024213
    Abstract: Processes for forming an integrated circuit are provided. In an embodiment, a process for forming an integrated circuit includes forming a low-k dielectric layer overlying a base substrate. An etch mask is patterned over the low-k dielectric layer. A recess is etched into the low-k dielectric layer through the etch mask to expose a recess surface within the recess. The low-k dielectric layer and the base substrate are annealed after etching. Annealing is conducted in an annealing environment, such as in an annealing furnace that provides the annealing environment. The recess surface is exposed to the annealing environment. An electrically-conductive material is deposited in the recess after annealing to form an embedded electrical interconnect.
    Type: Application
    Filed: July 18, 2012
    Publication date: January 23, 2014
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Bernd Hintze, Frank Koschinsky, Uwe Stoeckgen
  • Publication number: 20140024214
    Abstract: A method for fabricating a semiconductor device including a semiconductor substrate having a trench formed therein. A migration assist layer is formed in the trench and on the substrate. A buried layer in formed in the trench by migrating material from the migration assist layer and the semiconductor substrate.
    Type: Application
    Filed: December 19, 2012
    Publication date: January 23, 2014
    Applicant: SK HYNIX INC.
    Inventors: Tae-Yoon KIM, Heung-Jae CHO
  • Publication number: 20140021439
    Abstract: Some embodiments include methods of forming semiconductor constructions. Carbon-containing material is formed over oxygen-sensitive material. The carbon-containing material and oxygen-sensitive material together form a structure having a sidewall that extends along both the carbon-containing material and the oxygen-sensitive material. First protective material is formed along the sidewall. The first protective material extends across an interface of the carbon-containing material and the oxygen-sensitive material, and does not extend to a top region of the carbon-containing material. Second protective material is formed across the top of the carbon-containing material, with the second protective material having a common composition to the first protective material. The second protective material is etched to expose an upper surface of the carbon-containing material. Some embodiments include semiconductor constructions, memory arrays and methods of forming memory arrays.
    Type: Application
    Filed: July 18, 2012
    Publication date: January 23, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Fabio Pellizzer, Cinzia Perrone
  • Patent number: 8633109
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing a substrate. The method includes forming a portion of an interconnect structure over the substrate. The portion of the interconnect structure has an opening. The method includes obtaining a boron-containing gas that is free of a boron-10 isotope. The method includes filling the opening with a conductive material to form a contact. The filling of the opening is carried out using the boron-containing gas. Also provided is a semiconductor device. The semiconductor device includes a substrate. The semiconductor device includes an interconnect structure formed over the substrate. The semiconductor device includes a conductive contact formed in the interconnect structure. The conductive contact has a material composition that includes Tungsten and Boron, wherein the Boron is a 11B-enriched Boron.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: January 21, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Huei Lee, Chou-Jie Tsai, Chia-Fang Wu, Jang Jung Lee, Wei-Cheng Chu, Dong Gui
  • Publication number: 20140015135
    Abstract: Self-aligned via interconnects using relaxed patterning exposure. In accordance with a first method embodiment, a method for controlling a computer-aided design (CAD) system for designing physical features of an integrated circuit includes accessing a first pattern for first metal traces on a first metal layer, accessing a second pattern for second metal traces on a second metal layer, vertically adjacent to the first metal layer and accessing a precise pattern of intended interconnections between the first and second metal traces. The precise pattern of intended interconnections is operated on to form an imprecise via pattern that indicates a plurality of general areas in which vias are allowed. The imprecise via pattern is for use in an integrated circuit manufacturing process to form, in conjunction with operations to form the first and second metal layers, a plurality of self-aligned vias for interconnecting the intended interconnections.
    Type: Application
    Filed: July 16, 2012
    Publication date: January 16, 2014
    Applicant: SYNOPSYS, INC.
    Inventors: Michael L. Rieger, Victor Moroz
  • Publication number: 20140017890
    Abstract: In one aspect, a method of forming contacts to source and drain regions in a FET device includes the following steps. A patternable dielectric is deposited onto the device so as to surround each of the source and drain regions. The patternable dielectric is exposed to cross-link portions of the patternable dielectric that surround the source and drain regions. Uncross-linked portions of the patternable dielectric are selectively removed relative to the cross-linked portions of the patternable dielectric, wherein the cross-linked portions of the patternable dielectric form dummy contacts that surround the source and drain regions. A planarizing dielectric is deposited onto the device around the dummy contacts. The dummy contacts are selectively removed to form vias in the planarizing dielectric which are then filled with a metal(s) so as to form replacement contacts that surround the source and drain regions.
    Type: Application
    Filed: July 12, 2012
    Publication date: January 16, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Guy M. Cohen, Michael A. Guillorn
  • Patent number: 8629058
    Abstract: Methods for forming a via structure are provided. The method includes depositing a first-layer conductive line over a semiconductor substrate, forming a dielectric layer over the first-layer conductive line, forming a via opening in the dielectric layer and exposing the first-layer conductive line in the via opening, forming a recess portion in the first-layer conductive line, and filling the via opening to form a via extending through the dielectric layer to the first-layer conductive line. The via has a substantially tapered profile and substantially extends into the recess in the first-layer conductive line.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shau-Lin Shue, Cheng-Lin Huang, Ching-Hua Hsieh
  • Patent number: 8629437
    Abstract: According to embodiments, there is provided a semiconductor device, including: a logic circuit; an interlayer insulating film formed above the logic circuit; an amorphous silicon layer including: a non-silicide layer formed on the interlayer insulating film; and a silicide layer formed on the non-silicide layer; a TFT formed on the amorphous silicon layer; and a contact plug formed to plug a through hole penetrating the interlayer insulating film, the contact plug being electrically connected to the logic circuit, an upper part of the contact plug being connected to the silicide layer.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: January 14, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tatsuya Ishida, Masahiro Inohara
  • Publication number: 20140011357
    Abstract: A method for forming a contact window includes: a step of providing a substrate; a step of forming a patterned amorphous carbon layer or spin-on coating layer, in which a surface of the substrate is exposed at two sides of the amorphous carbon layer or spin-on coating layer; a step of forming an interlayer dielectric layer on the substrate; a step of removing a portion of the interlayer dielectric layer until the patterned amorphous carbon layer or spin-on coating layer is exposed; a step of removing the patterned amorphous carbon layer or spin-on coating layer to form an opening; and a step of filling the opening with a conductive material to form the contact window.
    Type: Application
    Filed: September 12, 2012
    Publication date: January 9, 2014
    Applicant: POWERCHIP TECHNOLOGY CORPORATION
    Inventors: Jung-Yuan Hsieh, Shih-Hsi Chen, Jin-Ren Han
  • Patent number: 8623761
    Abstract: Interconnect structures including a graphene cap located on exposed surfaces of a copper structure are provided. In some embodiments, the graphene cap is located only atop the uppermost surface of the copper structure, while in other embodiments the graphene cap is located along vertical sidewalls and atop the uppermost surface of the copper structure. The copper structure is located within a dielectric material.
    Type: Grant
    Filed: September 6, 2012
    Date of Patent: January 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Christos D. Dimitrakopoulos, Alfred Grill, James B. Hannon, Qinghuang Lin, Deborah A. Neumayer, Satoshi Oida, John A. Ott, Dirk Pfeiffer
  • Publication number: 20140001633
    Abstract: A method for fabricating a copper interconnect structure is disclosed. A substrate having a conductive region is provided. An insulating layer with a via opening is formed on the substrate. The via opening exposes the conductive region. A copper layer is formed on the first insulating layer and fills the via opening by sequentially performing deposition and reflowing processes. A masking layer is formed on the copper layer to cover the via opening. The copper layer uncovered by the masking layer is anisotropically oxidized. The masking layer and the oxidized copper layer are removed by a wet etching process, to form a copper plug in the via opening and a copper wire line on the copper plug. A copper interconnect structure is also disclosed.
    Type: Application
    Filed: June 27, 2012
    Publication date: January 2, 2014
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chi-Wen Huang, Kuo-Hui Su
  • Publication number: 20140001641
    Abstract: A semiconductor device comprising a substrate, a power bus, a heat source circuit, a heat sensitive circuit, and a plurality of electrically and thermally conductive through-silicon-vias (TSVs) in the substrate. The TSVs are electrically coupled to the power bus and positioned between the heat source circuit and the heat sensitive circuit to absorb heat from the heat source circuit.
    Type: Application
    Filed: June 27, 2012
    Publication date: January 2, 2014
    Inventors: MICHAEL B. MCSHANE, Kevin J. Hess, Perry H. Pelley, Tab A. Stephens
  • Publication number: 20140001527
    Abstract: A semiconductor device including buried bit lines formed of a metal silicide and silicidation preventing regions formed in a substrate under trenches that separate the buried bit lines.
    Type: Application
    Filed: December 18, 2012
    Publication date: January 2, 2014
    Applicant: SK HYNIX INC.
    Inventor: Ju-Hyun MYUNG
  • Patent number: 8614140
    Abstract: There is provided a semiconductor device manufacturing apparatus capable of recovering a damage of a low dielectric insulating film exposed to CO2 plasma to obtain the low dielectric insulating film in a good state, thus improving performance and reliability of a semiconductor device. The semiconductor device manufacturing apparatus includes: an etching processing mechanism for performing an etching process that etches a low dielectric insulating film formed on a substrate; a CO2 plasma processing mechanism for performing a CO2 plasma process that exposes the substrate to CO2 plasma after the etching process; a polarization reducing mechanism for performing a polarization reducing process that reduces polarization in the low dielectric insulating film after the CO2 plasma process; and a transfer mechanism for transferring the substrate.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: December 24, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Ryuichi Asako, Gousuke Shiraishi, Shigeru Tahara
  • Publication number: 20130334700
    Abstract: A method of forming a dual damascene metal interconnect for a semiconductor device. The method includes forming a layer of low-k dielectric, forming vias through the low-k dielectric layer, depositing a sacrificial layer, forming trenches through the sacrificial layer, filling the vias and trenches with metal, removing the sacrificial layer, then depositing an extremely low-k dielectric layer to fill between the trenches. The method allows the formation of an extremely low-k dielectric layer for the second level of the dual damascene structure while avoiding damage to that layer by such processes as trench etching and trench metal deposition. The method has the additional advantage of avoiding an etch stop layer between the via level dielectric and the trench level dielectric.
    Type: Application
    Filed: June 19, 2012
    Publication date: December 19, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sunil Kumar Singh, Chung-Ju Lee, Tien-I Bao
  • Publication number: 20130334575
    Abstract: The technology relates to a damascene word line for a three dimensional array of nonvolatile memory cells. Partly oxidized lines of material such as silicon are made over a plurality of stacked nonvolatile memory structures. Word line trenches are made in the partly oxidized lines, by removing the unoxidized lines from the intermediate parts of the partly oxidized lines, leaving the plurality of oxidized lines at the outer parts of the plurality of partly oxidized lines. Word lines are made in the word line trenches over the plurality of stacked nonvolatile memory structures.
    Type: Application
    Filed: June 19, 2012
    Publication date: December 19, 2013
    Applicant: Macronix International Co., Ltd.
    Inventors: Shih-Hung Chen, Yen-Hao Shih, Hang-Ting Lue
  • Publication number: 20130337648
    Abstract: The present invention relates to a method of making a cavity substrate. In accordance with a preferred embodiment, the method includes: providing a sacrificial carrier and optionally an electrical pad that extends from the sacrificial carrier in the first vertical direction; providing a dielectric layer that covers the sacrificial carrier in the first vertical direction; removing a selected portion of the sacrificial carrier; attaching a stiffener to the dielectric layer from the second vertical direction; forming a build-up circuitry from the first vertical direction; and removing the remaining portion of the sacrificial carrier to expose electrical contacts from the second vertical direction. A semiconductor device can be mounted on the cavity substrate and electrically connected to the electrical contacts within the built-in cavity of the cavity substrate. The stiffener can provide mechanical support for the build-up circuitry and the semiconductor device.
    Type: Application
    Filed: May 29, 2013
    Publication date: December 19, 2013
    Inventors: Charles W.C. LIN, Chia-Chung WANG
  • Patent number: 8609535
    Abstract: A stacked semiconductor package having through electrodes that exhibit a reduced leakage current and a method of making the same are presented. The stacked semiconductor package includes a semiconductor chip, through-holes, and a current leakage prevention layer. The semiconductor chip has opposing first and second surfaces. The through-holes pass entirely through the semiconductor chip and are exposed at the first and second surfaces. A polarized part is formed on at least one of the first and second surfaces of the semiconductor chip. The through-electrodes are disposed within the through-holes. The current leakage prevention layer covers the polarized part and exposes ends of the through-electrodes.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: December 17, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Hee Jo, Sung Cheol Kim, Sung Min Kim
  • Publication number: 20130330926
    Abstract: Methods and apparatuses for filling high aspect ratio features with tungsten-containing materials in a substantially void-free manner are provided. In certain embodiments, the method involves depositing an initial layer of a tungsten-containing material followed by selectively removing a portion of the initial layer to form a remaining layer, which is differentially passivated along the depth of the high-aspect ration feature. In certain embodiments, the remaining layer is more passivated near the feature opening than inside the feature. The method may proceed with depositing an additional layer of the same or other material over the remaining layer. The deposition rate during this later deposition operation is slower near the feature opening than inside the features due to the differential passivation of the remaining layer. This deposition variation, in turn, may aid in preventing premature closing of the feature and facilitate filling of the feature in a substantially void free manner.
    Type: Application
    Filed: May 6, 2013
    Publication date: December 12, 2013
    Inventors: Anand Chandrashekar, Raashina Humayun, Michal Danek, Aaron R. Fellis, Sean Chang
  • Publication number: 20130330927
    Abstract: A cleaning liquid for lithography, and a method for forming a wiring using the cleaning liquid for lithography. The cleaning liquid for includes an alkali or an acid, a solvent, and a silicon compound generating a silanol group through hydrolysis. The method forms a metal wiring layer by embedding a metal in an etching space formed in a low dielectric constant layer of a semiconductor multilayer laminate. In this method, the semiconductor multilayer laminate is cleaned using the cleaning liquid for lithography, after formation of the etching space.
    Type: Application
    Filed: June 10, 2013
    Publication date: December 12, 2013
    Inventors: Tomoya Kumagai, Takahiro Eto
  • Publication number: 20130328186
    Abstract: A component can include a substrate and a conductive via extending within an opening in the substrate. The substrate can have first and second opposing surfaces. The opening can extend from the first surface towards the second surface and can have an inner wall extending away from the first surface. A dielectric material can be exposed at the inner wall. The conductive via can define a relief channel within the opening adjacent the first surface. The relief channel can have an edge within a first distance from the inner wall in a direction of a plane parallel to and within five microns below the first surface, the first distance being the lesser of one micron and five percent of a maximum width of the opening in the plane. The edge can extend along the inner wall to span at least five percent of a circumference of the inner wall.
    Type: Application
    Filed: June 8, 2012
    Publication date: December 12, 2013
    Applicant: INVENSAS CORPORATION
    Inventors: Cyprian Emeka Uzoh, Charles G. Woychik, Terrence Caskey, Kishor V. Desai, Huailiang Wei, Craig Mitchell, Belgacem Haba
  • Patent number: 8604604
    Abstract: A method of making a conductive interconnect structure includes the steps of: electrodepositing a metal on a conductive surface (4) of a carrier (2) to form a first elongate conductive interconnect (12); and electrodepositing a dielectric material (14) on said conductive interconnect (12) while the conductive interconnect (12) is in contact with the conductive surface (4).
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: December 10, 2013
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: John Christopher Rudin
  • Patent number: 8604555
    Abstract: A semiconductor structure and a manufacturing method of the same are provided. The semiconductor structure includes a substrate, a gate dielectric layer, a gate structure, a source conductive structure, a drain conductive structure, and a gate conductive structure. The substrate has a channel area. The gate dielectric layer is formed on the channel area, and the gate structure is formed on the gate dielectric layer. The source conductive structure and the drain conductive structure penetrate through the gate structure and are electrically connected to the substrate, and the source conductive structure and the drain conductive structure are electrically isolated from the gate structure. The gate conductive structure is formed on the gate structure. The source conductive structure and the drain conductive structure are separated by a distance which is equal to a length of the channel area.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: December 10, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Shih-Hung Chen, Kuang-Yeu Hsieh
  • Publication number: 20130321094
    Abstract: In certain aspects of the invention, an isolator is configured by a reception circuit, a transmission circuit, and a transformer. In some aspects, the transmission circuit is disposed in an anterior surface of a semiconductor substrate. The transformer is disposed in a posterior surface of the semiconductor substrate and transmits in an electrically isolated state to the reception circuit, a signal input from the transmission circuit. The transformer is configured by a primary coil and a secondary coil. The primary coil can be configured by a metal film embedded in an oxide film inside a coil trench. The secondary coil can be disposed inside an insulating film covering the primary coil so as to oppose the primary coil and is insulated from the primary coil by the insulating film.
    Type: Application
    Filed: May 15, 2013
    Publication date: December 5, 2013
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventors: Hitoshi SUMIDA, Yoshiaki TOYODA, Masashi AKAHANE
  • Publication number: 20130320561
    Abstract: Disclosed herein is a plug via stacked structure including: a through hole plating layer plated on a through hole inner wall and around top and bottom of a through hole at thickness t; a via plug filled in an inner space of the through hole plating layer; a circuit pattern formed over the top and bottom of the through hole plating layer and the via plug and making a thickness t? formed on the through hole plating layer thicker than a thickness t; and a stacked conductive via filled in a via hole formed on the top of the through hole and formed at thickness ? from a top of the circuit pattern, wherein T?t?+? is satisfied, T represents a sum of the thicknesses t and t? and t? is a thickness of a portion of the circuit pattern formed on the via plug.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 5, 2013
    Inventors: Seung Wook PARK, Romero CHRISTIAN, Chang Bae LEE, Mi Jin PARK
  • Publication number: 20130320539
    Abstract: Methods and apparatus are disclosed for the back end of line process for fabrication of integrated circuits (ICs). The inter-metal dielectric (IMD) layer between two metal layers may comprise an etching stop layer over a metal layer, a low-k dielectric layer over the etching stop layer, a dielectric hard mask layer over the low-k dielectric layer, an nitrogen free anti-reflection layer (NFARL) over the dielectric hard mask layer, and a metal-hard-mask (MHM) layer of a thickness in a range from about 180 ? to about 360 ? over the NFARL. The MHM layer thickness is optimized at the range from about 180 ? to about 360 ? to reduce the Cu pits while avoiding the photo overlay shifting issue.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chih Wang, Wei-Rong Chen, Yao Hsiang Liang, Chen-Kuang Lien
  • Publication number: 20130320554
    Abstract: A semiconductor device includes a substrate having a top surface. A semiconductor circuit defines a circuit area on the top surface of the substrate. An interconnect is spaced apart from the circuit area and extends from the top surface into the substrate. The interconnect includes a sidewall formed of an electrically insulating material. An opening is provided in the sidewall.
    Type: Application
    Filed: May 31, 2012
    Publication date: December 5, 2013
    Applicant: Intel Mobile Communications GmbH
    Inventor: Hans-Joachim Barth
  • Publication number: 20130320480
    Abstract: A semiconductor device comprises an integrated circuit (IC) die having a top side and a back side. The circuit substrate includes a heat source circuit, a heat sensitive circuit, a package substrate coupled to the top side of the circuit substrate, and a plurality of thermally conductive through-silicon vias (TSVs) formed from the back side of the circuit substrate to near but not through the top side of the circuit substrate.
    Type: Application
    Filed: May 31, 2012
    Publication date: December 5, 2013
    Inventors: Michael B. Mcshane, Kevin J. Hess, Perry H. Pelley, Tab A. Stephens
  • Publication number: 20130320550
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of bit line structures over a substrate, forming multiple layers of spacer layers with a capping layer interposed therebetween over the bit line structures, exposing a surface of the substrate by selectively etching the spacer layers, forming air gaps and capping spacers for covering upper portions of the air gaps by selectively etching the capping layer, and forming storage node contact plugs between the bit line structures.
    Type: Application
    Filed: September 7, 2012
    Publication date: December 5, 2013
    Inventor: Jun Ki KIM
  • Publication number: 20130313627
    Abstract: A method of making multi-level contacts. The method includes providing an in-process multilevel device including at least one device region and at least one contact region. The contact region includes a plurality of electrically conductive layers configured in a step pattern. The method also includes forming a conformal etch stop layer over the plurality of electrically conductive layers, forming a first electrically insulating layer over the etch stop layer, forming a conformal sacrificial layer over the first electrically insulating layer and forming a second electrically insulating layer over the sacrificial layer. The method also includes etching a plurality of contact openings through the etch stop layer, the first electrically insulating layer, the sacrificial layer and the second electrically insulating layer in the contact region to the plurality of electrically conductive layers.
    Type: Application
    Filed: May 23, 2012
    Publication date: November 28, 2013
    Applicant: SanDisk Technologies, Inc.
    Inventors: Yao-Sheng Lee, Zhen Chen, Syo Fukata
  • Patent number: 8586472
    Abstract: A semiconductor device and method are disclosed. The semiconductor device includes a substrate having a first region and a second region and an insulating layer arranged on the substrate. A first conductive layer is arranged in or on insulating layer in the first region and a second conductive layer is arranged in or on the insulating layer in the second region. The first conductive layer comprises a first conductive material and the second conductive layer comprises a second conductive material wherein the first conductive material is different than the second conductive material. A metal layer is arranged on the first conductive layer.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: November 19, 2013
    Assignee: Infineon Technologies AG
    Inventors: Roland Hampp, Thomas Fischer, Uwe Hoeckele
  • Patent number: 8586476
    Abstract: A circuit substrate uses post-fed top side power supply connections to provide improved routing flexibility and lower power supply voltage drop/power loss. Plated-through holes are used near the outside edges of the substrate to provide power supply connections to the top metal layers of the substrate adjacent to the die, which act as power supply planes. Pins are inserted through the plated-through holes to further lower the resistance of the power supply path(s). The bottom ends of the pins may extend past the bottom of the substrate to provide solderable interconnects for the power supply connections, or the bottom ends of the pins may be soldered to “jog” circuit patterns on a bottom metal layer of the substrate which connect the pins to one or more power supply terminals of an integrated circuit package including the substrate.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel Douriet, Francesco Preda, Brian L. Singletary, Lloyd A. Walls
  • Publication number: 20130299994
    Abstract: Integrated circuits and processes for forming integrated circuits are provided. An exemplary process for forming an integrated circuit includes providing a substrate including an oxide layer and a protecting layer disposed over the oxide layer. A recess is etched through the protecting layer and at least partially into the oxide layer. A barrier material is deposited in the recess to form a barrier layer over the oxide layer and protecting layer in the recess. Electrically-conductive material is deposited over the barrier layer in the recess to form the embedded electrical interconnect. The embedded electrical interconnect and barrier layer are recessed to an interconnect recess depth and a barrier recess depth, respectively, within the substrate. At least a portion of the protecting layer remains over the oxide layer after recessing the barrier layer and is removed after recessing the barrier layer.
    Type: Application
    Filed: May 8, 2012
    Publication date: November 14, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Chanro Park, Errol T. Ryan
  • Publication number: 20130299993
    Abstract: The present invention provides a method for fabricating an interconnection of a semiconductor device, which includes the following processes. First, an isolation layer is formed on a substrate. Then, at least a first trenches extending along a first direction is formed in the isolation layer. The first trench is then filled up with a first conductive material followed by forming a patterned mask layer on the substrate, wherein the patterned mask exposes parts of the isolation layer and part of the first conductive material. Finally, at least a second trench extending along a second direction is formed in the isolation layer, wherein the at least one second trenches intersects and overlaps portions of the at least one first trenches.
    Type: Application
    Filed: May 11, 2012
    Publication date: November 14, 2013
    Inventor: Hsin-Yu Chen
  • Publication number: 20130291937
    Abstract: A device including a surface layer of a selected material in a predetermined pattern on a substrate surface. A groove or ridge arranged in the substrate surface includes a bottom or top face, respectively, and at least one side face sloping relative to the bottom or top face. The surface layer is deposited on a part of the substrate including the groove or ridge by vacuum chamber sputtering the selected material from a sputtering source whilst moving the substrate past the sputtering source in a direction substantially perpendicular to a sputtering main lobe direction and with a normal to the substrate surface substantially in a predefined angle with the main lobe direction. By uniformly etching away surface layer material deposited on the substrate by the sputtering until freeing a substantial part of the side face, the predetermined pattern becomes defined substantially by the bottom face or the top face.
    Type: Application
    Filed: October 25, 2011
    Publication date: November 7, 2013
    Applicant: Institutt for Energiteknikk
    Inventors: Krister Mangersnes, Sean Erik Foss
  • Publication number: 20130292839
    Abstract: Provided are a method for producing a porous silicon material filled with a metal, the method including the steps of rendering hydrophobic a porous silicon substrate having pores from 1 to 5 nm in diameter, and depositing a metal into the pores of the porous silicon substrate by the electrodeposition of the porous silicon substrate; a method for producing a metallic nanoparticle or a nanofiber, the method including the steps of producing a porous silicon material filled with a metal, dissolving the silicon contained in the porous silicon material filled with a metal; a metallic nanoparticle or a nanofiber obtained by using the method for producing a metallic nanoparticle or a nanofiber: and a porous silicon material formed from a porous silicon substrate having pores from 1 to 5 nm in diameter and a resistivity of 5 to 20 ?·cm, the pores of which are filled with a metal.
    Type: Application
    Filed: November 7, 2011
    Publication date: November 7, 2013
    Applicant: KYOTO UNIVERSITY
    Inventors: Kazuhiro Fukami, Yukio Ogata, Tetsuo Sakka, Ryo Koda, Tomoko Urata
  • Publication number: 20130292841
    Abstract: The present disclosure provides an interconnect structure for a semiconductor device. The interconnect structure includes a first metal layer that contains a first metal line. The interconnect structure includes a dielectric layer located over the first metal layer. The dielectric layer contains a first sub-via electrically coupled to the first metal line and a second sub-via electrically coupled to the first sub-via. The second sub-via is different from the first sub-via. The interconnect structure includes a second metal layer located over the dielectric layer. The second metal layer contains a second metal line electrically coupled to the second sub-via. No other metal layer is located between the first metal layer and the second metal layer.
    Type: Application
    Filed: May 4, 2012
    Publication date: November 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Ming Lai, Wen-Chun Huang, Ru-Gun Liu, Pi-Tsung Chen
  • Publication number: 20130292824
    Abstract: A chip provided with through vias wherein the vias are formed of an opening with insulated walls coated with a conductive material and filled with an easily deformable insulating material, elements of connection to another chip being arranged in front of the easily deformable insulating material.
    Type: Application
    Filed: April 29, 2013
    Publication date: November 7, 2013
    Applicant: STMicroelectronics SA
    Inventors: Sylvain Joblot, Pierre Bar
  • Patent number: 8575026
    Abstract: One or more embodiments may include a method of making a semiconductor structure, comprising: forming a first opening partially through a semiconductor substrate; forming a first dielectric layer over a sidewall surface of the first opening; and forming a second opening partially through a semiconductor substrate, the second opening being below the first opening.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Publication number: 20130277853
    Abstract: Semiconductor devices, methods of manufacture thereof, and methods of forming conductive features thereof are disclosed. A semiconductor device includes an insulating material layer disposed over a workpiece. The insulating material layer includes a silicon-containing material comprising about 13% or greater of carbon (C). A conductive feature is disposed within the insulating material layer. The conductive feature includes a capping layer disposed on a top surface thereof.
    Type: Application
    Filed: April 20, 2012
    Publication date: October 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hui-Chun Yang, Mei-Ling Chen, Keng-Chu Lin, Joung-Wei Liou
  • Publication number: 20130277848
    Abstract: A method of forming a contact includes forming an inter-layer dielectric layer to cover a gate formed on a semiconductor substrate; and forming a first hole which passes through the inter-layer dielectric layer to expose the gate, a second hole which exposes an active region of the semiconductor substrate, and a third hole which exposes the semiconductor substrate at a preset depth. Further, the method includes forming a shielding layer on the semiconductor substrate including the bottom and sidewalls of the first hole, the second hole, and the third hole; and removing the shielding layer at the bottom of the first hole and the second hole to expose the gate and the active region. Furthermore, the method includes filling the first hole, the second hole, and the third hole with a conductive material.
    Type: Application
    Filed: February 4, 2013
    Publication date: October 24, 2013
    Applicant: Dongbu HiTek Co., Ltd
    Inventor: Ki Jun YUN
  • Patent number: 8563416
    Abstract: A solder bump support structure and method of manufacturing thereof is provided. The solder bump support structure includes an inter-level dielectric (ILD) layer formed over a silicon substrate. The ILD layer has a plurality of conductive vias. The structure further includes a first insulation layer formed on the ILD layer. The solder bump support structure further includes a pedestal member formed on the ILD layer which includes a conductive material formed above the plurality of conductive vias in the ILD layer coaxially surrounded by a second insulation layer. The second insulation layer is thicker than the first insulation layer. The structure further includes a capping under bump metal (UBM) layer formed over, and in electrical contact with, the conductive material and formed over at least a portion of the second insulation layer of the pedestal member.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: October 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brian Michael Erwin, Ian D. Melville, Ekta Misra, George John Scott
  • Publication number: 20130270711
    Abstract: An apparatus and method are provided for integrating TSVs into devices prior to device contacts processing. The apparatus includes a semiconducting layer; one or more CMOS devices mounted on a top surface of the semiconducting layer; one or more TSVs integrated into the semiconducting layer of the device wafer; at least one metal layer applied over the TSVs; and one or more bond pads mounted onto a top layer of the at least one metal layer, wherein the at least one metal layer is arranged to enable placement of the one or more bond pads at a specified location for bonding to a second device wafer. The method includes obtaining a wafer of semiconducting material, performing front end of line processing on the wafer; providing one or more TSVs in the wafer; performing middle of line processing on the wafer; and performing back end of line processing on the wafer.
    Type: Application
    Filed: April 12, 2012
    Publication date: October 17, 2013
    Applicant: The Research Foundation Of State University Of New York
    Inventors: Jeremiah HEBDING, Megha RAO, Colin McDONOUGH, Matthew SMALLEY, Douglas Duane COOLBAUGH, Joseph PICCIRILLO, JR., Stephen G. BENNETT, Michael LIEHR, Daniel PASCUAL