Silicide Patents (Class 438/682)
  • Patent number: 8173093
    Abstract: Provided is an iron silicide sputtering target in which the oxygen as the gas component in the target is 1000 ppm or less, and a manufacturing method of such iron silicide sputtering target including the steps of melting/casting high purity iron and silicon under high vacuum to prepare an alloy ingot, subjecting the ingot to gas atomization with inert gas to prepare fine powder, and thereafter sintering the fine powder. With this iron silicide sputtering target, the amount of impurities will be reduced, the thickness of the ?FeSi2 film during deposition can be made thick, the generation of particles will be reduced, a uniform and homogenous film composition can be yielded, and the sputtering characteristics will be favorable. The foregoing manufacturing method is able to stably produce this target.
    Type: Grant
    Filed: September 1, 2003
    Date of Patent: May 8, 2012
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Kunihiro Oda, Ryo Suzuki
  • Patent number: 8168538
    Abstract: Methods for manufacturing buried silicide lines are described herein, along with high density stacked memory structures. A method for manufacturing an integrated circuit as described herein includes forming a semiconductor body comprising silicon. A plurality of trenches are formed in the semiconductor body to define semiconductor lines comprising silicon between adjacent trenches, the semiconductor lines having sidewalls. A silicide precursor is deposited within the trenches to contact the sidewalls of the semiconductor lines, and a portion of the silicide precursor is removed to expose upper portions of the sidewalls and leave remaining strips of silicide precursor along the sidewalls. Silicide conductors are then formed by inducing reaction of the strips of silicide with the silicon of the semiconductor lines.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: May 1, 2012
    Assignee: Macronix International Co., Ltd.
    Inventors: Shih-Hung Chen, Tian-Jue Hong
  • Patent number: 8168493
    Abstract: Provided are a semiconductor memory device and a method of manufacturing the semiconductor memory device. The semiconductor memory device includes a semiconductor substrate including a first active region and a second active region, a gate electrode including a silicide layer formed on the first active region and a resistor pattern formed on the second active region. A distance from a top surface of the semiconductor substrate to a top surface of the resistor pattern is smaller than a distance from a top surface of the semiconductor substrate to a top surface of the gate electrode.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: May 1, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jongwon Kim
  • Publication number: 20120098131
    Abstract: A nickel alloy sputtering target and a nickel silicide film formed with such a target are provided and enable the formation of a thermally stable silicide (NiSi) film, scarcely causing the aggregation of films or excessive formation of silicides, having low generation of particles upon forming the sputtered film, having favorable uniformity and superior plastic workability to the target, and which is particularly effective for the manufacture of a gate electrode material (thin film). The nickel alloy sputtering target contains 22 to 46 wt % of platinum and 5 to 100 wtppm of one or more components selected from iridium, palladium, and ruthenium, and remainder is nickel and inevitable impurities.
    Type: Application
    Filed: January 5, 2012
    Publication date: April 26, 2012
    Applicant: JX NIPPON MINING & METALS CORPORATION
    Inventor: Yasuhiro Yamakoshi
  • Patent number: 8164146
    Abstract: Field effect transistors described herein include first and second terminals vertically separated by a channel region. The first and second terminals comprise first and second silicide elements respectively. The first silicide element prevents the migration of carriers from the first terminal into the underlying semiconductor body or adjacent devices which can activate parasitic devices. The first silicide element is also capable of acting as a low resistance conductive line for interconnecting devices or elements. The second silicide element provides a low resistance contact between the second terminal and overlying elements.
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: April 24, 2012
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 8158519
    Abstract: In a method of manufacturing a non-volatile memory cell, a self-aligned metal silicide is used in place of a conventional tungsten metal layer to form a polysilicon gate, and the self-aligned metal silicide is used as a connection layer on the polysilicon gate. By using the self-aligned metal silicide to form the polysilicon gate, the use of masks in the etching process may be saved to thereby enable simplified manufacturing process and accordingly, reduced manufacturing cost. Meanwhile, the problem of resistance shift caused by an oxidized tungsten metal layer can be avoided.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: April 17, 2012
    Assignee: Eon Silicon Solution Inc.
    Inventors: Yi-Hsiu Chen, Yung-Chung Lee, Yider Wu
  • Patent number: 8158518
    Abstract: Methods of forming contacts (and optionally, local interconnects) using an ink comprising a silicide-forming metal, electrical devices such as diodes and/or transistors including such contacts and (optional) local interconnects, and methods for forming such devices are disclosed. The method of forming contacts includes depositing an ink of a silicide-forming metal onto an exposed silicon surface, drying the ink to form a silicide-forming metal precursor, and heating the silicide-forming metal precursor and the silicon surface to form a metal silicide contact. Optionally, the metal precursor ink may be selectively deposited onto a dielectric layer adjacent to the exposed silicon surface to form a metal-containing interconnect. Furthermore, one or more bulk conductive metal(s) may be deposited on remaining metal precursor ink and/or the dielectric layer. Electrical devices, such as diodes and transistors may be made using such printed contact and/or local interconnects.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: April 17, 2012
    Assignee: Kovio, Inc.
    Inventors: Aditi Chandra, Arvind Kamath, James Montague Cleeves, Joerg Rockenberger, Mao Takashima, Erik Scher
  • Patent number: 8143162
    Abstract: An interconnect structure of an integrated circuit and a method for forming the same are provided. The interconnect structure includes a semiconductor substrate, a low-k dielectric layer over the semiconductor substrate, a conductor in the low-k dielectric layer, and a cap layer on the conductor. The cap layer has at least a top portion comprising a metal silicide/germanide.
    Type: Grant
    Filed: July 10, 2009
    Date of Patent: March 27, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Hua Yu, Yung-Cheng Lu, Hui-Lin Chang, Ting-Yu Shen, Hung Chun Tsai
  • Patent number: 8124467
    Abstract: In sophisticated P-channel transistors, a high germanium concentration may be used in a silicon/germanium alloy, wherein an additional semiconductor cap layer may provide enhanced process conditions during the formation of a metal silicide. For example, a silicon layer may be formed on the silicon/germanium alloy, possibly including a further strain-inducing atomic species other than germanium, in order to provide a high strain component while also providing superior conditions during the silicidation process.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: February 28, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Vassilios Papageorgiou, Maciej Wiatr
  • Patent number: 8124483
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In a preferred embodiment, a method of manufacturing a semiconductor device includes forming a transistor, the transistor including a fin having a first side and a second side opposite the first side. The transistor includes a first gate electrode disposed on the first side of the fin and a second gate electrode disposed on the second side of the fin. The method includes forming a silicide or germanide of a metal on the first gate electrode and the second gate electrode of the transistor. The amount of the metal of the silicide or germanide is substantially homogeneous over the first gate electrode and the second gate electrode proximate the fin.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: February 28, 2012
    Assignee: Infineon Technologies AG
    Inventor: Thomas Schulz
  • Patent number: 8105946
    Abstract: A method of forming the conductive lines of a semiconductor memory device comprises forming a first polysilicon layer over an underlying layer, forming first polysilicon patterns by patterning the first polysilicon layer, filling the space between the first polysilicon patterns with an insulating layer, etching a top portion of the first polysilicon patterns to form recess regions, forming spacers on the sidewalls of the recess regions, filling the recess regions with a second polysilicon layer to form second polysilicon patterns, and performing a metal silicidation process to convert the second polysilicon patterns to metal silicide patterns.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: January 31, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Sic Woo
  • Patent number: 8101521
    Abstract: The methods described herein relate to deposition of low resistivity, highly conformal tungsten nucleation layers. These layers serve as a seed layers for the deposition of a tungsten bulk layer. The methods are particularly useful for tungsten plug fill in which tungsten is deposited in high aspect ratio features. The methods involve depositing a nucleation layer by a combined PNL and CVD process. The substrate is first exposed to one or more cycles of sequential pulses of a reducing agent and a tungsten precursor in a PNL process. The nucleation layer is then completed by simultaneous exposure of the substrate to a reducing agent and tungsten precursor in a chemical vapor deposition process. In certain embodiments, the process is performed without the use of a borane as a reducing agent.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: January 24, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Juwen Gao, Lana Hiului Chan, Panya Wongsenakhum
  • Patent number: 8089137
    Abstract: A memory device includes a diode driver and a data storage element, such as an element comprising phase change memory material, and in which the diode driver comprises a silicide element on a silicon substrate with a single crystal silicon node on the silicide element. The silicide element separates the single crystal silicon node from the underlying silicon substrate, preventing the flow of carriers from the single crystal silicon node into the substrate, and is capable of acting as a conductive element for interconnecting devices on the device. The single crystal silicon node acts as one terminal of a diode, and a second semiconductor node is formed on top of it, acting as the other terminal of the diode.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: January 3, 2012
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsiang-Lan Lung, Erh-Kun Lai
  • Patent number: 8088665
    Abstract: Embodiments of the present invention describe a method of fabricating low resistance contact layers on a semiconductor device. The semiconductor device comprises a substrate having source and drain regions. The substrate is alternatingly exposed to a first precursor and a second precursor to selectively deposit an amorphous semiconductor layer onto each of the source and drain regions. A metal layer is then deposited over the amorphous semiconductor layer on each of the source and drain regions. An annealing process is then performed on the substrate to allow the metal layer to react with amorphous semiconductor layer to form a low resistance contact layer on each of the source and drain regions. The low resistance contact layer on each of the source and drain regions can be formed as either a silicide layer or germanide layer depending on the type of precursors used.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: January 3, 2012
    Assignee: Intel Corporation
    Inventors: Willy Rachmady, Jason W. Klaus, Ravi Pillarisetty, Niloy Mukherjee, Jack Kavalieros, Sean King
  • Publication number: 20110284933
    Abstract: The present invention relates to a semiconductor component which comprises at least one electric contact surface for the electric contacting of a semiconductor region (1) with a metal material (3). To this end, the electric contact surface is configured by a surface of a semiconductor layer that is structured in terms of the depth thereof and preferably silicidated. By configuring a three-dimensional surface topography of the semiconductor layer, an enlargement of the electric contact surface is achieved, without enlarging the surface required for the semiconductor component and without the use of additional materials. In this way, the invention can advantageously be used to reduce parasitic contact resistance in semiconductor components which are produced using standard CMOS processes.
    Type: Application
    Filed: February 26, 2008
    Publication date: November 24, 2011
    Applicant: Fraunholfer-Gesellschaft zuer Foerderung der angewandten Forschung e.V.
    Inventors: Christian Kampen, Alexander Burenkov
  • Patent number: 8058132
    Abstract: The present disclosure relates to a method of fabricating a flash memory device. According to the present disclosure, a hard mask layer to which surface roughnesses have been transferred by a metal silicide layer, including the surface roughness, is polished before or during a gate etch process in order to diminish the surface roughnesses. Thus, although surface roughnesses exist in the metal silicide layer, a SAC nitride layer formed over a gate can be prevented from being lost in a subsequent polishing process of a pre-metal dielectric layer, which is performed in order to form a contact plug. Accordingly, a hump phenomenon of a transistor can be improved.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: November 15, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Myung-Kyu Ahn, In No Lee
  • Patent number: 8058695
    Abstract: A semiconductor device includes a silicon substrate, and a NiSi layer provided on the silicon substrate aiming to suppress oxidation of the surface of a NiSi layer and the resistivity increase. The NiSi layer includes a bottom NiSi region and a top NiSi region. The bottom NiSi region provided in contact with silicon surface, and containing substantially no nitrogen. The top NiSi region is a nitrided NiSi region provided in contact with the bottom NiSi region, and containing nitrogen. The NiSi layer has a total thickness of 50 nm or below.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: November 15, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Tomoko Matsuda, Takashi Ide, Hiroshi Kimura
  • Patent number: 8058092
    Abstract: A method for providing a semiconductor material for photovoltaic devices, the method includes providing a sample of iron disilicide comprising approximately 90 percent or greater of a beta phase entity. The sample of iron disilicide is characterized by a substantially uniform first particle size ranging from about 1 micron to about 10 microns. The method includes combining the sample of iron disilicide and a binding material to form a mixture of material. The method includes providing a substrate member including a surface region and deposits the mixture of material overlying the surface region of the substrate. In a specific embodiment, the mixture of material is subjected to a post-deposition process such as a curing process to form a thickness of material comprising the sample of iron disilicide overlying the substrate member. In a specific embodiment, the thickness of material is characterized by a thickness of about the first particle size.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: November 15, 2011
    Assignee: Stion Corporation
    Inventors: Howard W. H. Lee, Frederic Victor Mikulec, Bing Shen Gao, Jinman Huang
  • Publication number: 20110263124
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a plurality of Si-based pattern portions above a semiconductor substrate, the plurality of Si-based pattern portions being adjacent in a direction substantially parallel to a surface of the semiconductor substrate via insulating films; forming a metal film above the plurality of Si-based pattern portions and the insulating films so as to contact with the plurality of Si-based pattern portions; processing whole areas or upper portions of the plurality of Si-based pattern portions into a plurality of silicide layers by a silicidation reaction between the plurality of Si-based pattern portions and the metal film by heat treatment; and removing the plurality of silicide layers formed above the insulating films by applying planarizing treatment to the plurality of silicide layers.
    Type: Application
    Filed: June 29, 2011
    Publication date: October 27, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Shinichi Hirasawa, Shinya Watanabe
  • Patent number: 8043888
    Abstract: A method for forming a phase change memory cell (PCM) includes forming a heater for the phase change memory and forming a phase change structure electrically coupled to the heater. The forming a heater includes siliciding a material including silicon to form a silicide structure, wherein the heater includes at least a portion of the silicide structure. The phase change structure exhibits a first resistive value when in a first phase state and exhibits a second resistive value when in a second phase state. The silicide structure produces heat when current flows through the silicide structure for changing the phase state of the phase change structure.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: October 25, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Leo Mathew, Dharmesh Jawarani, Tushar P. Merchant, Ramachandran Muralidhar
  • Patent number: 8039378
    Abstract: To provide a technique capable of improving the reliability of a semiconductor element and its product yield by reducing the variations in the electrical characteristic of a metal silicide layer. After forming a nickel-platinum alloy film over a semiconductor substrate 1, by carrying out a first thermal treatment at a thermal treatment temperature of 210 to 310° C. using a heater heating device, the technique causes the nickel-platinum alloy film and silicon to react with each other to form a platinum-added nickel silicide layer in a (PtNi)2Si phase. Subsequently, after removing the unreacted nickel-platinum alloy film, the technique carries out a second thermal treatment having the thermal treatment temperature higher than that of the first thermal treatment to form the platinum-added nickel silicide layer in a PtNiSi phase. The temperature rise rate of the first thermal treatment is set to 10° C./s or more (for example, 30 to 250° C.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: October 18, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Shigenari Okada, Takuya Futase, Yutaka Inaba
  • Patent number: 8034715
    Abstract: A Co silicide layer having a low resistance and a small junction leakage current is formed on the surface of the gate electrode, source and drain of MOSFETS by silicidizing a Co film deposited on a main plane of a wafer by sputtering using a high purity Co target having a Co purity of at least 99.99% and Fe and Ni contents of not greater than 10 ppm, preferably having a Co purity of 99.999%.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: October 11, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Shinji Nishihara, Shuji Ikeda, Naotaka Hashimoto, Hiroshi Momiji, Hiromi Abe, Shinichi Fukada, Masayuki Suzuki
  • Publication number: 20110241115
    Abstract: A Schottky field effect transistor (FET) includes a gate stack located on a silicon on insulator (SOI) layer, the gate stack comprising a gate silicide region; and source/drain silicide regions located in the SOI layer, the source/drain silicide regions comprising and at least one of sulfur and fluorine, wherein an interface comprising arsenic is located between each of the source/drain silicide regions and the SOI layer. A method of forming a contact, the contact comprising a silicide region adjacent to a silicon region, includes co-implanting the silicide region with arsenic and at least one of sulfur and fluorine; and drive-in annealing the co-implanted silicide region to diffuse the arsenic to an interface between the silicide region and the silicon region.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 6, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christian Lavoie, Siegfried L. Maurer, Qiqing Ouyang, Paul Solomon, Zhen Zhang
  • Patent number: 8021982
    Abstract: A method is provided for forming a metal semiconductor alloy that includes providing a deposition apparatus that includes a platinum source and a nickel source, wherein the platinum source is separate from the nickel source; positioning a substrate having a semiconductor surface in the deposition apparatus; forming a metal alloy on the semiconductor surface, wherein forming the metal alloy comprises a deposition stage in which the platinum source deposits platinum to the semiconductor surface at an initial rate at an initial period that is greater than a final rate at a final period of the deposition stage, and the nickel source deposits nickel to the semiconductor surface; and annealing the metal alloy to react the nickel and platinum with the semiconductor substrate to provide a nickel platinum semiconductor alloy.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: September 20, 2011
    Assignees: International Business Machines Corporation, Samsung Electronics Co., Ltd., Infineon Technologies AG
    Inventors: Oh-Jung Kwon, Anthony G. Domenicucci, O Sung Kwon, Jin-Woo Choi
  • Patent number: 8017519
    Abstract: Disclosed is a semiconductor device including: a substrate; a wiring layer formed on the substrate and made of copper or a copper alloy; a copper diffusion barrier film formed on the wiring layer and made of an amorphous carbon film formed by CVD using a processing gas containing a hydrocarbon gas; and a low-k insulating film formed on the copper diffusion barrier film.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Hiraku Ishikawa
  • Patent number: 8017468
    Abstract: A method of manufacturing a semiconductor device in which the formation of buried wiring is facilitated includes: forming columnar patterns, which are arranged in a two-dimensional array, and bridge patterns, which connect the columnar patterns in a column direction, on a main surface of a silicon substrate; injecting an impurity in a surface portion of each of the columnar patterns and bridge patterns and in surface portions of the silicon substrate, thereby forming impurity injection layers; forming a side wall on sides of the columnar patterns and bridge patterns; removing the impurity injection layer, which has been formed in the silicon substrate, with the exception of the impurity injection layer covered by the bottom portions of the side walls; removing the side walls by etch-back; and thermally oxidizing the surface portion of the bridge patterns and then etching away the same. Buried wiring extending in the column direction of the columnar patterns is formed within the silicon substrate.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: September 13, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Hiroyuki Fujimoto
  • Patent number: 8013401
    Abstract: A method for carrying out a replacement metal gate process comprises providing a transistor in a reactor, wherein the transistor includes a gate stack, removing at least a portion of the gate stack to expose a surface of a barrier layer, causing a temperature of the reactor be less than or equal to 150° C., introducing methylpyrrolidine:alane (MPA) proximate to the surface of the barrier layer, and carrying out a CVD process to deposit aluminum metal on the barrier layer using a bottom-up deposition mechanism.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: September 6, 2011
    Assignee: Intel Corporation
    Inventors: Adrien R. Lavoie, Mark Doczy
  • Patent number: 8008177
    Abstract: A method for fabricating a semiconductor device is provided using a nickel salicide process. The method includes forming a gate pattern and a source/drain region on a silicon substrate, forming a Ni-based metal layer for silicide on the silicon substrate where the gate pattern and the source/drain region are formed, and forming an N-rich titanium nitride layer on the Ni-based metal layer for silicide. Next, a thermal treatment is applied to the silicon substrate where the Ni-based metal layer for silicide and the N-rich titanium nitride layer are formed, thereby forming a nickel silicide on each of the gate pattern and the source/drain region. Then, the Ni-based metal layer for silicide and the N-rich titanium nitride layer are selectively removed to expose a top portion of a nickel silicide layer formed on the gate pattern and the source/drain region.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: August 30, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-chul San, Ja-hum Ku, Chul-sung Kim, Kwan-jong Roh, Min-joo Kim
  • Patent number: 8003473
    Abstract: Embodiments of the invention provide a method of fabricating a semiconductor device. The method includes defining a sub-collector region in a layer of doped semiconductor material; forming an active region, a dielectric region, and a reach-through region on top of the layer of doped semiconductor material with the dielectric region separating the active region from the reach-through region; and siliciding the reach-through region and a portion of the sub-collector region to form a partially silicided conductive pathway. A semiconductor device made thereby is also provided.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: August 23, 2011
    Assignee: International Business Machines Corporation
    Inventors: Francois Pagette, Christian Lavoie, Anna Topol
  • Patent number: 7998842
    Abstract: The present invention provides metallic films containing a Group IVB or VB metal, silicon and optionally nitrogen by utilizing atomic layer deposition (ALD). In particularly, the present invention provides a low temperature thermal ALD method of forming metallic silicides and a plasma-enhanced atomic layer deposition (PE-ALD) method of forming metallic silicon nitride film. The methods of the present invention are capable of forming metallic films having a thickness of a monolayer or less on the surface of a substrate. The metallic films provided in the present invention can be used for contact metallization, metal gates or as a diffusion barrier.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Hyungjun Kim, Stephen M. Rossnagel
  • Patent number: 7994038
    Abstract: Transistor devices are formed with nickel silicide layers formulated to prevent degradation upon removal of overlying stress liners. Embodiments include transistors with nickel silicide layers having a platinum composition gradient increasing in platinum content toward the upper surfaces thereof, i.e., increasing in platinum in a direction away from the gate electrode and source/drain regions. Embodiments include forming a first layer of nickel having a first amount of platinum and forming, on the first layer of nickel, a second layer of nickel having a second amount of platinum, the second weight percent of platinum being greater than the first weight percent. The layers of nickel are then annealed to form a nickel silicide layer having the platinum composition gradient increasing in platinum toward the upper surface.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: August 9, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Karthik Ramani, Paul R. Besser
  • Patent number: 7989340
    Abstract: The invention included to methods of forming CoSi2, methods of forming field effect transistors, and methods of forming conductive contacts. In one implementation, a method of forming CoSi2 includes forming a substantially amorphous layer comprising MSix over a silicon-containing substrate, where “M” comprises at least some metal other than cobalt. A layer comprising cobalt is deposited over the substantially amorphous MSix-comprising layer. The substrate is annealed effective to diffuse cobalt of the cobalt-comprising layer through the substantially amorphous MSix-comprising layer and combine with silicon of the silicon-containing substrate to form CoSi2 beneath the substantially amorphous MSix-comprising layer. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: August 2, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Jeff Hu
  • Patent number: 7989344
    Abstract: Ni3Si2 FUSI gates can be formed inter alia by further reaction of NiSi/Ni2Si gate stacks. Ni3Si2 behaves similarly to NiSi in terms of work function values, and of modulation with dopants on SiO2, in contrast to Ni-rich silicides which have significantly higher work function values on HfSixOy and negligible work function shifts with dopants on SiO2. Formation of Ni3Si2 can applied for applications targeting NiSi FUSI gates, thereby expanding the process window without changing the electrical properties of the FUSI gate.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: August 2, 2011
    Assignee: IMEC
    Inventor: Jorge Adrian Kittl
  • Patent number: 7985652
    Abstract: A semiconductor device and method for manufacturing a tensile strained NMOS and a compressive strained PMOS transistor pair, wherein a stressor material is sacrificial is disclosed. The method provides for a substrate, which includes a source/drain for an NMOS transistor, and a PMOS transistor. A first barrier layer is formed on the substrate and a first stressor material is formed on the first barrier layer. The first barrier layer is selectively removed from the PMOS transistor. The substrate is flash annealed and the remaining first stressor material and barrier layer is removed from the substrate.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: July 26, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Hu Ke, Ta-Ming Kuan, Chih-Hsin Ko, Wen-Chin Lee
  • Patent number: 7985668
    Abstract: Generally, the present disclosure is directed to a method of removing “weakened” areas of a metal silicide layer during silicide layer formation, thereby reducing the likelihood that material defects might occur during subsequent device manufacturing. One illustrative embodiment includes depositing a first layer of a refractory metal on a surface of a silicon-containing material, and performing first and second heating processes. The method further comprises performing a cleaning process, depositing a second layer of the refractory metal above the silicon-containing material, and performing a third heating process.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: July 26, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Ralf Richter, Torsten Huisinga, Jens Heinrich
  • Patent number: 7981795
    Abstract: A semiconductor device manufacturing method has forming a metal film containing platinum by depositing a metal on a source/drain diffusion layer primarily made of silicon formed on a semiconductor substrate and on a device isolation insulating film; forming a silicide film by silicidation of an upper part of the source/drain diffusion layer by causing a reaction between silicon in the source/drain diffusion layer and the metal on the source/drain diffusion layer by a first heating processing; forming a metal oxide film by a oxidation processing to oxidize selectively at least a surface of the metal film on the device isolation insulating film; increasing the concentration of silicon in the silicide film by a second heating processing; and selectively removing the metal oxide film and an unreacted part of the metal film on the device isolation insulating film.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: July 19, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kouji Matsuo, Kazuhiko Nakamura
  • Patent number: 7981801
    Abstract: A method for fabricating a semiconductor device is provided which includes providing a semiconductor substrate, forming a plurality of transistors, each transistor having a dummy gate structure, forming a contact etch stop layer (CESL) over the substrate including the dummy gate structures, forming a first dielectric layer to fill in a portion of each region between adjacent dummy gate structures, forming a chemical mechanical polishing (CMP) stop layer over the CESL and first dielectric layer, forming a second dielectric layer over the CMP stop layer, performing a CMP on the second dielectric layer that substantially stops at the CMP stop layer, and performing an overpolishing to expose the dummy gate structure.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: July 19, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Kong-Beng Thei, Su-Chen Lai, Gary Shen
  • Patent number: 7981799
    Abstract: The present invention relates to a room temperature-operating single-electron device and a fabrication method thereof, and more particularly, to a room temperature-operating single-electron device in which a plurality of metal silicide dots formed serially is used as multiple quantum dots, and a fabrication method thereof.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: July 19, 2011
    Assignee: Chungbuk National University Industry-Academic Cooperation Foundation
    Inventors: Jung Bum Choi, Chang Keun Lee, Min Sik Kim
  • Patent number: 7977772
    Abstract: A semiconductor device and system for a hybrid metal fully silicided (FUSI) gate structure is disclosed. The semiconductor system comprises a PMOS gate structure, the PMOS gate structure including a first high-? dielectric layer, a P-metal layer, a mid-gap metal layer, wherein the mid-gap metal layer is formed between the high-? dielectric layer, the P-metal layer and a fully silicided layer formed on the P-metal layer. The semiconductor system further comprises an NMOS gate structure, the NMOS gate structure includes a second high-? dielectric layer, the fully silicided layer, and the mid-gap metal layer, wherein the mid-gap metal layer is formed between the high-? dielectric and the fully silicided layer.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: July 12, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Cheng-Tung Lin, Cheng-Hung Chang, Hsiang-Yi Wang, Chen-Nan Yeh
  • Patent number: 7977236
    Abstract: Methods and structures are provided for full silicidation of recessed silicon. Silicon is provided within a trench. A mixture of metals is provided over the silicon in which one of the metals diffuses more readily in silicon than silicon does in the metal, and another of the metals diffuses less readily in silicon than silicon does in the metal. An exemplary mixture includes 80% nickel and 20% cobalt. The silicon within the trench is allowed to fully silicide without void formation, despite a relatively high aspect ratio for the trench. Among other devices, recessed access devices (RADs) can be formed by the method for memory arrays.
    Type: Grant
    Filed: June 2, 2009
    Date of Patent: July 12, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Hasan Nejad, Thomas A. Figura, Gordon A. Haller, Ravi Iyer, John Mark Meldrim, Justin Harnish
  • Patent number: 7972583
    Abstract: An iron silicide sputtering target in which the oxygen as a gas component in the target is 1000 ppm or less and a method of manufacturing such an iron silicide sputtering target are provided. The method includes the steps of melting/casting high purity iron and silicon under high vacuum to prepare an alloy ingot, subjecting the ingot to gas atomization with inert gas to prepare fine powder, and thereafter sintering the fine powder. The amount of impurities in the target will be reduced, the thickness of a ?FeSi2 film during deposition can be made thick, the generation of particles will be reduced, a uniform and homogenous film composition can be yielded, and the sputtering characteristics will be favorable. The foregoing manufacturing method is able to stably produce the target.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: July 5, 2011
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Kunihiro Oda, Ryo Suzuki
  • Patent number: 7968457
    Abstract: Embodiments of an apparatus and methods for forming enhanced contacts using sandwiched metal structures are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: June 28, 2011
    Assignee: Intel Corporation
    Inventors: Niloy Mukherjee, Matthew V. Metz, Willy Rachmady, Gilbert Dewey, Jack Kavalieros, Robert S. Chau
  • Patent number: 7968463
    Abstract: A formation method of a metallic compound layer includes preparing, in a chamber, a substrate having a surface on which a semiconductor material of silicon, germanium, or silicon germanium is exposed, and forming a metallic compound layer, includes: supplying a raw material gas containing a metal for forming a metallic compound with the semiconductor material to the chamber; heating the substrate to a temperature at which the raw material gas is pyrolyzed; and forming a metallic compound layer by reaction of the metal with the semiconductor material so that no layer of the metal is deposited on the substrate. A manufacturing method of a semiconductor device employs this formation method of a metallic compound layer.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: June 28, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Takashi Nakagawa, Toru Tatsumi, Makiko Oshida, Nobuyuki Ikarashi, Kensuke Takahashi, Kenzo Manabe
  • Publication number: 20110147855
    Abstract: A method for forming a semiconductor device decouples NMOS and PMOS silicide processing and thereby allows independent optimization of at least one characteristic of both NMOS and PMOS devices, and eliminates constraints of using the same silicide process for both NMOS and PMOS, which limits the degree to which the process can be optimized for either technology.
    Type: Application
    Filed: December 23, 2009
    Publication date: June 23, 2011
    Inventors: Subhash M. Joshi, Chris Auth
  • Patent number: 7960235
    Abstract: A method for manufacturing a bulk Si nanometer surrounding-gate MOSFET based on a quasi-planar process, including: local oxidation isolation or shallow trench isolation; depositing buffer SiO2 oxide layer/SiN dielectric layer on the bulk Si; electron beam exposure; etching two adjacent slots; depositing SiN sidewalls; isotropically etching Si; dry oxidation; removing SiN by wet etching; forming the nanowire by stress self-constraint oxidation; depositing and anisotropically etching oxide dielectric layer and planarizing surface; releasing the nanowire by wet etching while keeping sufficiently thick SiO2 at bottom as isolation; growing gate dielectric and depositing gate material; etching back the gate and isotropically etching the gate material by using the gate dielectric as a block layer; shallow implantation in the source/drain region; depositing and etching sidewalls; deep implantation in the source/drain region to form contact.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: June 14, 2011
    Assignee: Institute of Microelectronics, Chinese Academy
    Inventors: Yi Song, Huajie Zhou, Qiuxia Xu
  • Patent number: 7960283
    Abstract: A method for forming silicide contacts in integrated circuits (ICs) is described. A spacer pull-back etch is performed during the salicidation process to reduce the stress between the spacer and source/drain silicide contact at the spacer undercut. This prevents the propagation of surface defects into the substrate, thereby minimizing the occurrence of silicide pipe defects. The spacer pull-back etch can be performed after a first annealing step to form the silicide contacts.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: June 14, 2011
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jeff Jianhui Ye, Huang Liu, Alex K H See, Wei Lu, Hai Cong, Hui Peng Koh, Mei Sheng Zhou, Liang Choo Hsia
  • Patent number: 7955978
    Abstract: Silicon containing substrates are coated with nickel. The nickel is coated with a protective layer and the combination is heated to a sufficient temperature to form nickel silicide. The nickel silicide formation may be performed in oxygen containing environments.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: June 7, 2011
    Assignee: Rohm and Hass Electronic Materials LLC
    Inventors: John P. Cahalen, Gary Hamm, George R. Allardyce, David L. Jacques
  • Patent number: 7951712
    Abstract: Provided are an interconnection of a semiconductor device which includes a capping layer and a method for forming the interconnection. The interconnection of the semiconductor device is a copper damascene interconnection where the capping layer is formed as a dual layer of a silicon nitride layer and silicon carbide layer on a copper layer processed by chemical mechanical polishing (CMP). Therefore, it is possible to maintain a high etching selectivity and a low dielectric constant of the silicon carbide layer while providing superior leakage suppression.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: May 31, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-woo Lee, Soo-geun Lee, Ki-chul Park, Won-sang Song
  • Patent number: 7943499
    Abstract: A method for making a transistor 20 that includes using a transition metal nitride layer 200 and/or a SOG layer 220 to protect the source/drain regions 60 from silicidation during the silicidation of the gate electrode 90. The SOG layer 210 is planarized to expose the transition metal nitride layer 200 or the gate electrode 93 before the gate silicidation process. If a transition metal nitride layer 200 is used, then it is removed from the top of the gate electrode 93 before the full silicidation of the gate electrode 90.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: May 17, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Yaw S. Obeng, Ping Jiang, Joe G. Tran
  • Patent number: 7943516
    Abstract: A method of manufacturing a semiconductor device forms an interlayer insulating film on a nickel silicide layer formed on a substrate, and forms a through hole by performing dry etching using a resist pattern, formed on the interlayer insulating film, as a mask and then removing the resist pattern by ashing. A wafer after an ashing process is cleaned using a cleaning solution comprised of aqueous solution having a content of the fluorine-containing compound of 1.0 to 5.0 mass %, a content of chelating agent of 0.2 to 5.0 mass %, and a content of the organic acid salt of 0.1 to 3.0 mass %.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: May 17, 2011
    Assignees: Renesas Electronics Corporation, Kanto Kagaku Kabushiki Kaisha
    Inventors: Hidemitsu Aoki, Tatsuya Suzuki, Takuo Ohwada, Kaoru Ikegami, Norio Ishikawa