Plural Coating Steps Patents (Class 438/702)
  • Patent number: 9318342
    Abstract: One illustrative method disclosed herein includes forming a plurality of initial fins in a substrate, wherein at least one of the initial fins is a to-be-removed fin, forming a material adjacent the initial fins, forming a fin removal masking layer above the plurality of initial fins, removing a desired portion of the at least one to-be-removed fin by: (a) performing a recess etching process on the material to remove a portion, but not all, of the material positioned adjacent the sidewalls of the at least one to-be-removed fin, (b) after performing the recess etching process, performing a fin recess etching process to remove a portion, but not all, of the at least one to be removed fin and (c) repeating steps (a) and (b) until the desired amount of the at least one to-be-removed fin is removed.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: April 19, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Andreas Knorr, Ajey Poovannummoottil Jacob, Michael Hargrove
  • Patent number: 9287109
    Abstract: One method disclosed herein includes forming a layer of insulating material above a semiconductor substrate, forming a hard mask layer comprised of a metal-containing material above the layer of insulating material, forming a blanket protection layer on the hard mask layer, forming a masking layer above the protection layer, performing at least one etching process on the masking layer to form a patterned masking layer having an opening that stops on and exposes a portion of the blanket protection layer, confirming that the patterned masking layer is properly positioned relative to at least one underlying structure or layer and, after confirming that the patterned masking layer is properly positioned, performing at least one etching process through the patterned masking layer to pattern at least the blanket protection layer.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: March 15, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Torsten Huisinga, Keith Donegan, Robert Seidel
  • Patent number: 9263542
    Abstract: A semiconductor device comprises a substrate, an active layer over the substrate, and an insulating layer between the substrate and the active layer. The insulating layer is doped with one of positive charge and negative charge and configured to establish an electric field across the active layer when the semiconductor device is powered.
    Type: Grant
    Filed: August 5, 2014
    Date of Patent: February 16, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chee-Wee Liu, Yen-Yu Chen, Hsuan-Yi Lin, Cheng-Yi Peng
  • Patent number: 9257301
    Abstract: Provided is a method of etching a silicon oxide film. The method includes exposing a workpiece including the silicon oxide film and a mask formed on the silicon oxide film to plasma of a processing gas to etch the silicon oxide film. The mask includes a first film formed on the silicon oxide film and a second film formed on the first film, and the second film is constituted by a film having an etching rate lower than that of the first film with respect to active species in the plasma.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: February 9, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Ogasawara, Masafumi Urakawa, Yoshinobu Hayakawa, Kazuhiro Kubota, Hikaru Watanabe
  • Patent number: 9218970
    Abstract: A method to form a titanium nitride (TiN) hard mask in the Damascene process of forming interconnects during the fabrication of a semiconductor device, while the type and magnitude of stress carried by the TiN hard mask is controlled. The TiN hard mask is formed in a multi-layered structure where each sub-layer is formed successively by repeating a cycle of processes comprising TiN and chlorine PECVD deposition, and N2/H2 plasma gas treatment. During its formation, the stress to be carried by the TiN hard mask is controlled by controlling the number of TiN sub-layers and the plasma gas treatment duration such that the stress may counter-balance predetermined external stress anticipated on a conventionally made TiN hard mask, which causes trench sidewall distortion, trench opening shrinkage, and gap filling problem.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: December 22, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Rueijer Lin, Chun-Chieh Lin, Hung-Wen Su, Ming-Hsing Tsai
  • Patent number: 9177920
    Abstract: Embodiments of the present invention disclose a thin film transistor array substrate, a method of manufacturing the same, and display device. A method of manufacturing a thin film transistor array substrate, comprises: forming a resin layer on a substrate formed with a thin film transistor array, patterning the resin layer by using a mask process to form a spacer and a contact hole filling layer, the contact hole filing layer is used for filling contact holes on the thin film transistor array substrate; forming an alignment film on the substrate patterning with the spacer and the contact hole filing layer.
    Type: Grant
    Filed: October 1, 2014
    Date of Patent: November 3, 2015
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Hyun Sic Choi, Zhiqiang Xu, Hui Li
  • Patent number: 9159547
    Abstract: A semiconductor device and method of making the semiconductor device is described. A semiconductor die is provided. A polymer layer is formed over the semiconductor die. A via is formed in the polymer layer. The polymer layer is crosslinked in a first process. The polymer layer is thermally cured in a second process. The polymer layer can comprise polybenzoxazoles (PBO), polyimide, benzocyclobutene (BCB), or siloxane-based polymers. A surface of the polymer layer can be crosslinked by a UV bake to control a slope of the via during subsequent curing. The second process can further comprise thermally curing the polymer layer using conduction, convection, infrared, or microwave heating. The polymer layer can be thermally cured by increasing a temperature of the polymer at a rate greater than or equal to 10 degrees Celsius per minute, and can be completely cured in less than or equal to 60 minutes.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: October 13, 2015
    Assignee: DECA Technologies Inc.
    Inventors: William Boyd Rogers, Willibrordus Gerardus Maria van den Hoek
  • Patent number: 9088125
    Abstract: An electromagnetic wave generator for outputting wideband electromagnetic waves, including terahertz (THz) band waves, and for controlling wavelengths of the output electromagnetic waves and an optical shutter are provided. The electromagnetic wave generator includes two electrodes that separately face each other, a chargeable particle disposed between the two electrodes, and a chamber disposed to surround the chargeable particle between the two electrodes. When DC voltages are applied to the two electrodes to generate an electric field between the two electrodes, the chargeable particle may be charged. Then, the chargeable particle reciprocates between the two electrodes to generate the electromagnetic waves. A wavelength of the output electromagnetic wave may be controlled by adjusting a potential difference between the two electrodes.
    Type: Grant
    Filed: June 11, 2012
    Date of Patent: July 21, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Sung Nae Cho
  • Patent number: 9076847
    Abstract: A method of forming a wiring structure for an integrated circuit device includes forming one or more copper lines within an interlevel dielectric layer (ILD); masking selected regions of the one or more copper lines; selectively plating metal cap regions over exposed regions of the one or more copper lines; and forming a conformal insulator layer over the metal cap regions and uncapped regions of the one or more copper lines.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: July 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Matthew S. Angyal, Junjing Bao, Griselda Bonilla, Samuel S. Choi, James A. Culp, Thomas W. Dyer, Ronald G. Filippi, Stephen E. Greco, Naftali E. Lustig, Andrew H. Simon
  • Patent number: 9076989
    Abstract: A masking film (13) is formed so as to have an opening in a display region (R1) (luminescent region) and a sealing region. Subsequently, luminescent layers (8R, 8G, and 8B) having a stripe pattern are formed. Then, the masking film (13) is peeled off, so that the luminescent layers (8R, 8G, and 8B) patterned with high resolution are provided.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: July 7, 2015
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tohru Sonoda, Shinichi Kawato, Satoshi Inoue, Satoshi Hashimoto
  • Publication number: 20150147863
    Abstract: Semiconductor devices having necked semiconductor bodies and methods of forming semiconductor bodies of varying width are described. For example, a semiconductor device includes a semiconductor body disposed above a substrate. A gate electrode stack is disposed over a portion of the semiconductor body to define a channel region in the semiconductor body under the gate electrode stack. Source and drain regions are defined in the semiconductor body on either side of the gate electrode stack. Sidewall spacers are disposed adjacent to the gate electrode stack and over only a portion of the source and drain regions. The portion of the source and drain regions under the sidewall spacers has a height and a width greater than a height and a width of the channel region of the semiconductor body.
    Type: Application
    Filed: December 12, 2014
    Publication date: May 28, 2015
    Inventor: Bernhard Sell
  • Publication number: 20150140824
    Abstract: A jig includes a wafer including an accommodation groove configured to accommodate a capacitive micromachined ultrasonic transducer (cMUT) when flip chip bonding is performed, and a separation groove formed in a bottom surface of the accommodation groove, the separation groove having a bottom surface that is spaced apart from thin films of the cMUT that face the bottom surface of the separation groove when the cMUT is seated on portions of the bottom surface of the accommodation groove.
    Type: Application
    Filed: January 28, 2015
    Publication date: May 21, 2015
    Applicants: SAMSUNG ELECTRONICS CO., LTD., Kyungpook National University Industry-Academic Cooperation Foundation
    Inventors: Young Il KIM, Bae Hyung KIM, Jong Keun SONG, Seung Heun LEE, Kyung Il CHO, Yong Rae ROH, Won Seok LEE
  • Publication number: 20150137204
    Abstract: A semiconductor process for manufacturing particular patterns includes the steps of forming a target layer and evenly-spaced core bodies on a substrate, conformally forming a hard mask layer, forming a first photoresist covering a predetermined region on the hard mask layer wherein the predetermined region encompasses at least two core bodies, performing a first etch process to remove a portion of the hard mask layer outside the predetermined region and expose a number of core bodies, removing the exposed core bodies, forming a second photoresist at least encompassing all the recesses in the predetermined region, and performing a second etch process to pattern the target layer.
    Type: Application
    Filed: May 19, 2014
    Publication date: May 21, 2015
    Applicant: Powerchip Technology Corporation
    Inventors: Zih-Song Wang, Chia-Ming Wu
  • Patent number: 9034755
    Abstract: Embodiments of the present invention provide a method of forming contact structure for transistor. The method includes providing a semiconductor substrate having a first and a second gate structure of a first and a second transistor formed on top thereof, the first and second gate structures being embedded in a first inter-layer-dielectric (ILD) layer; epitaxially forming a first semiconductor region between the first and second gate structures inside the first ILD layer; epitaxially forming a second semiconductor region on top of the first semiconductor region, the second semiconductor region being inside a second ILD layer on top of the first ILD layer and having a width wider than a width of the first semiconductor region; and forming a silicide in a top portion of the second semiconductor region.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: May 19, 2015
    Assignee: International Business Machines Corporation
    Inventors: Emre Alptekin, Reinaldo A. Vega
  • Patent number: 9034765
    Abstract: A method of forming a semiconductor device includes first preliminary holes over an etch target, the first preliminary holes arranged as a plurality of rows in a first direction, forming dielectric patterns each filling one of the first preliminary holes, sequentially forming a barrier layer and a sacrificial layer on the dielectric patterns, forming etch control patterns between the dielectric patterns, forming second preliminary holes by etching the sacrificial layer, each of the second preliminary holes being in a region defined by at least three dielectric patterns adjacent to each other, and etching the etch target layer corresponding to positions of the first and second preliminary holes to form contact holes.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: May 19, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joonsoo Park, JungWoo Seo, KyoungRyul Yoon, Cheolhong Kim, Seokwoo Nam, Yongjik Park
  • Patent number: 9034764
    Abstract: A method of forming an encapsulated wide trench includes providing a silicon on oxide insulator (SOI) wafer, defining a first side of a first sacrificial silicon slab by etching a first trench in a silicon layer of the SOI wafer, defining a second side of the first sacrificial silicon slab by etching a second trench in the silicon layer, forming a first sacrificial oxide portion in the first trench, forming a second sacrificial oxide portion in the second trench, forming a polysilicon layer above the first sacrificial oxide portion and the second sacrificial oxide portion, and etching the first sacrificial oxide portion and the second sacrificial oxide portion.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: May 19, 2015
    Assignee: Robert Bosch GmbH
    Inventor: Gary O'Brien
  • Patent number: 9034763
    Abstract: A method for manufacturing a sloped structure is disclosed. The method includes the steps of: (a) forming a sacrificial film above a substrate; (b) forming a first film above the sacrificial film; (c) forming a second film having a first portion connected to the substrate, a second portion connected to the first film, and a third portion positioned between the first portion and the second portion; (d) removing the sacrificial film; and (e) bending the third portion of the second film after the step (d), thereby sloping the first film with respect to the substrate.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: May 19, 2015
    Assignee: SEIKO EPSON CORPORATION
    Inventor: Takahiko Yoshizawa
  • Patent number: 9034766
    Abstract: According to one embodiment, a pattern formation method includes: forming a first guide layer having of first openings exposing a surface of an underlayer, and the first openings being arranged in a first direction; forming a second guide layer on the underlayer and on the first guide layer, the second guide layer extending in the first direction, the second guide layer dividing each of the first openings into the first opening portion and the second opening portion, and the second guide layer being sandwiched by a first opening portion and a second opening portion; forming a block copolymer layer in each of the first opening portion and the second opening portion; forming a first layer and a second layer surrounded by the first layer in each of the first opening portion and the second opening portion by phase-separating the block copolymer layer; and removing the second layer.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: May 19, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Matsunaga, Yoshihiro Yanai, Hirokazu Kato
  • Publication number: 20150130027
    Abstract: A method of forming a carbon-containing thin film and a method of manufacturing a semiconductor device using the method of forming the carbon-containing thin film are described. The method of forming a carbon-containing thin film includes the steps of introducing a substrate into a chamber, injecting hydrocarbon gas and at least nitrogen gas simultaneously into the chamber, and depositing a carbon-containing thin film including carbon and nitrogen on the substrate, thereby forming a carbon-containing thin film having high selectivity and uniform thickness.
    Type: Application
    Filed: November 11, 2014
    Publication date: May 14, 2015
    Inventors: Se jun PARK, Ho jun KIM, Jaihyung WON, Gyuwan CHOI, Dohyung KIM
  • Publication number: 20150130028
    Abstract: A method of manufacturing a semiconductor chip according to an embodiment includes forming on a semiconductor substrate a plurality of etching masks each including a protection film to demarcate a plurality of first regions of the substrate protected by the plurality of etching masks and a second region as an exposed region of the substrate, and anisotropically removing the second region by a chemical etching process to form a plurality of grooves each including a side wall at least partially located in the same plane as an end face of the etching mask and a bottom portion reaching a back surface of the substrate, thereby singulating the semiconductor substrate into a plurality of chip main bodies corresponding to the plurality of first regions.
    Type: Application
    Filed: November 13, 2014
    Publication date: May 14, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yusaku ASANO, Kazuhito Higuchi, Taizo Tomioka, Tomohiro Iguchi
  • Publication number: 20150123212
    Abstract: Approaches for providing a planar metrology pad adjacent a set of fins of a fin field effect transistor (FinFET) device are disclosed. A previously deposited amorphous carbon layer can be removed from over a mandrel that has been previously formed on a subset of a substrate, such as using a photoresist. A pad hardmask can be formed over the mandrel on the subset of the substrate. This formation results in the subset of the substrate having the pad hardmask covering the mandrel thereon and the remainder of the substrate having the amorphous carbon layer covering the mandrel thereon. This amorphous carbon layer can be removed from over the mandrel on the remainder of the substrate, allowing a set of fins to be formed therein while the amorphous carbon layer keeps the set of fins from being formed in the portion of the substrate that it covers.
    Type: Application
    Filed: November 4, 2013
    Publication date: May 7, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Xiang Hu, Lokesh Subramany, Alok Vaid, Sipeng Gu, Akshey Sehgal
  • Publication number: 20150126034
    Abstract: Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes forming etch resistant fill control topographical features that overlie a semiconductor substrate. The etch resistant fill control topographical features define an etch resistant fill control confinement well. A block copolymer is deposited into the etch resistant fill control confinement well. The block copolymer is phase separated into an etchable phase and an etch resistant phase. The etch resistant fill control topographical features direct the etch resistant phase to form an etch resistant plug in the etch resistant fill control confinement well.
    Type: Application
    Filed: November 5, 2013
    Publication date: May 7, 2015
    Applicant: GLOBALFOUNDRIES, Inc.
    Inventors: Azat Latypov, Edward Teoh Kah Ching, He Yi
  • Publication number: 20150118832
    Abstract: Embodiments of the present invention provide a methods for patterning a hardmask layer with good process control for an ion implantation process, particularly suitable for manufacturing the fin field effect transistor (FinFET) for semiconductor chips. In one embodiment, a method of patterning a hardmask layer disposed on a substrate includes forming a planarization layer over a hardmask layer disposed on a substrate, disposing a patterned photoresist layer over the planarization layer, patterning the planarization layer and the hardmask layer uncovered by the patterned photoresist layer in a processing chamber, exposing a first portion of the underlying substrate, and removing the planarization layer from the substrate.
    Type: Application
    Filed: October 24, 2013
    Publication date: April 30, 2015
    Inventors: Bingxi Sun WOOD, Li Yan MIAO, Huixiong DAI, Adam BRAND, Yongmei CHEN, Mandar B. PANDIT, Qingjun ZHOU
  • Patent number: 9012955
    Abstract: A MOS transistor protected against overvoltages formed in an SOI-type semiconductor layer arranged on an insulating layer itself arranged on a semiconductor substrate including a lateral field-effect control thyristor formed in the substrate at least partly under the MOS transistor, a field-effect turn-on region of the thyristor extending under at least a portion of a main electrode of the MOS transistor and being separated therefrom by said insulating layer, the anode and the cathode of the thyristor being respectively connected to the drain and to the source of the MOS transistor, whereby the thyristor turns on in case of a positive overvoltage between the drain and the source of the MOS transistor.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: April 21, 2015
    Assignee: STMicroelectronics SA
    Inventor: Pascal Fonteneau
  • Patent number: 9012314
    Abstract: A method for forming doping regions is disclosed, including providing a substrate, forming a first-type doping material on the substrate and forming a second-type doping material on the substrate, wherein the first-type doping material is separated from the second-type doping material by a gap; forming a covering layer to cover the substrate, the first-type doping material and the second-type doping material; and performing a thermal diffusion process to diffuse the first-type doping material and the second-type doping material into the substrate.
    Type: Grant
    Filed: December 11, 2012
    Date of Patent: April 21, 2015
    Assignee: Industrial Technology Research Institute
    Inventors: Wen-Ching Sun, Sheng-Min Yu, Tai-Jui Wang, Tzer-Shen Lin
  • Patent number: 9012326
    Abstract: A lower layer of a microelectronic device may be patterned by forming a first sacrificial layer on the lower layer; patterning a plurality of spaced apart trenches in the first sacrificial layer; forming a second sacrificial layer in the plurality of spaced apart trenches; patterning the second sacrificial layer in the plurality of spaced apart trenches to define upper openings in the plurality of spaced apart trenches; and patterning the lower layer using the first and second sacrificial layers as a mask to form lower openings in the lower layer.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: April 21, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Nam-Gun Kim, Yoonjae Kim, Sungil Cho
  • Patent number: 9006108
    Abstract: A method for fabricating recessed source and recessed drain regions of aggressively scaled CMOS devices. In this method a processing sequence of plasma etch, deposition, followed by plasma etch is used to controllably form recessed regions of the source and the drain in the channel of a thin body, much less than 40 nm, device to enable subsequent epitaxial growth of SiGe, SiC, or other materials, and a consequent increase in the device and ring oscillator performance. A Field Effect Transistor device is also provided, which includes: a buried oxide layer; a silicon layer above the buried oxide layer; an isotropically recessed source region; an isotropically recessed drain region; and a gate stack which includes a gate dielectric, a conductive material, and a spacer.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C Fuller, Steve Koester, Isaac Lauer, Ying Zhang
  • Patent number: 9006014
    Abstract: A method for fabricating three dimensional high surface electrodes is described. The methods including the steps: designing the pillars; selecting a material for the formation of the pillars; patterning the material; transferring the pattern to form the pillars; insulating the pillars and providing a metal layer for increased conductivity. Alternative methods for fabrication of the electrodes and fabrication of the electrodes using CMOS are also described.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: April 14, 2015
    Assignee: California Institute of Technology
    Inventors: Muhammad Mujeeb-U-Rahman, Axel Scherer
  • Patent number: 8999848
    Abstract: A method of forming a fine pattern of a semiconductor device using double SPT process, which is capable of implementing a line and space pattern having a uniform fine line width by applying a double SPT process including a negative SPT process, is provided. The method includes a first SPT process and a second SPT process and the second SPT process includes a Negative SPT process.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: April 7, 2015
    Assignee: SK hynix Inc.
    Inventors: Ki Lyoung Lee, Cheol Kyu Bok, Won Kyu Kim
  • Publication number: 20150091140
    Abstract: A multiple silicon trenches forming method and an etching mask structure, the method comprises: step S11, providing a MEMS sealing cap silicon substrate (100); step S12, forming n stacked mask layers (101, 102, 103) on the MEMS sealing cap silicon substrate (100), after forming each mask layer, photolithographing and etching the mask layer and all other mask layers beneath the same to form a plurality of etching windows (D1, D2, D3); step S13, etching the MEMS sealing cap silicon substrate by using the current uppermost mask layer and a layer of mask material beneath the same as a mask; step S14, removing the current uppermost mask layer; step S15, repeating the step S13 and the step S14 until all the n mask layers are removed.
    Type: Application
    Filed: March 18, 2013
    Publication date: April 2, 2015
    Inventors: Yongxiang Wen, Chen Liu, Feng Ji, Liwen Li
  • Publication number: 20150093901
    Abstract: A composition for forming a silicon-containing resist under layer film includes a silicon-containing compound which is obtained by hydrolysis, condensation or hydrolysis-condensation of a second silicon compound containing one or more compounds represented by the following general formula (1), wherein R represents an organic group having 1 to 6 carbon atoms, Ra, Rb and Rc each represents a substituted or unsubstituted monovalent organic group having 1 to 30 carbon atoms, w=0 or 1, x=0, 1, 2 or 3, y=0, 1 or 2, z=0, 1, 2 or 3; when w=0, 5?x+z?1, and the case where (x, z)=(1, 1), (3, 0) or (0, 3) are not included; and when w=1, 7?x+y+z?1, and the case where (x, y, z)=(1, 1, 1) is not included. The composition forms a resist under layer film with extremely less number of coating defects, and excellent adhesiveness in fine pattern and etching selectivity.
    Type: Application
    Filed: July 18, 2014
    Publication date: April 2, 2015
    Inventors: Tsutomu OGIHARA, Yusuke BIYAJIMA
  • Patent number: 8993448
    Abstract: A method of forming a plurality of nanotubes is disclosed. Particularly, a substrate may be provided and a plurality of recesses may be formed therein. Further, a plurality of nanotubes may be formed generally within each of the plurality of recesses and the plurality of nanotubes may be substantially surrounded with a supporting material. Additionally, at least some of the plurality of nanotubes may be selectively shortened and at least a portion of the at least some of the plurality of nanotubes may be functionalized. Methods for forming semiconductor structures intermediate structures, and semiconductor devices are disclosed. An intermediate structure, intermediate semiconductor structure, and a system including nanotube structures are also disclosed.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: March 31, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Terry L. Gilton
  • Publication number: 20150087153
    Abstract: A method for making a hollow-structure metal grating is provided. The method includes providing a substrate, forming a patterned mask layer on a surface of the substrate, applying a metal layer with a thickness greater than 10 nanometers on the patterned mask layer, and removing the patterned mask layer by a washing method using organic solvent. The patterned mask layer includes a plurality of first protruding structures and a plurality of first cavities arranged in intervals.
    Type: Application
    Filed: April 28, 2014
    Publication date: March 26, 2015
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., TSINGHUA UNIVERSITY
    Inventors: ZHEN-DONG ZHU, QUN-QING LI, BEN-FENG BAI, SHOU-SHAN FAN
  • Publication number: 20150087152
    Abstract: A method for making a hollow-structure metal grating is provided. The method includes the following steps. First, a substrate is provided. Second, a metal layer is located on a surface of the substrate. Third, a patterned mask layer is formed on a surface of the metal layer. The patterned mask layer is made of a chemical amplified photoresist. Fourth, the surface of the metal layer exposed out of the patterned mask layer is plasma etched. Lastly, the patterned mask layer on the surface of the metal layer is dissolved.
    Type: Application
    Filed: April 28, 2014
    Publication date: March 26, 2015
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., TSINGHUA UNIVERSITY
    Inventors: ZHEN-DONG ZHU, QUN-QING LI, BEN-FENG BAI, SHOU-SHAN FAN
  • Publication number: 20150087151
    Abstract: A method, e.g., of forming and using a mask, includes forming an inverse mask over a dielectric layer; forming a mask layer conformally over the inverse mask; removing horizontal portions of the mask layer; and after removing the horizontal portions, simultaneously etching the inverse mask and vertical portions of the mask layer. The etching the inverse mask is at a greater rate than the etching the vertical portions of the mask layer. The etching the inverse mask removes the inverse mask, and the etching the vertical portions of the mask layer forms a mask comprising rounded surfaces distal from the dielectric layer. Recesses are formed in the dielectric layer using the mask. Locations of the inverse mask correspond to fewer than all locations of the recesses.
    Type: Application
    Filed: September 26, 2013
    Publication date: March 26, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tsung-Min Huang, Chung-Ju Lee
  • Publication number: 20150079757
    Abstract: A method of fabricating a semiconductor device is provided and includes forming one or more molding layers on a substrate, forming a silicon mask layer, first and second mask layers, and a mask pattern having a different etch selectivity to be vertically aligned on the molding layer, patterning the second mask layer with a second mask pattern using the mask pattern as an etching mask, patterning the first mask layer with a first mask pattern using the second mask pattern as an etching mask, patterning the silicon mask layer with a silicon mask pattern using the first mask pattern as an etching mask, changing the silicon mask pattern to a hard mask pattern having an improved etch selectivity by doping impurities into the silicon mask pattern, forming a hole having a high aspect ratio contact (HARC) structure vertically passing through the molding layer using the hard mask pattern as an etching mask, and removing the hard mask pattern.
    Type: Application
    Filed: June 9, 2014
    Publication date: March 19, 2015
    Inventors: Kyung-Yub JEON, Jun-ho YOON, Min-joon PARK
  • Patent number: 8980755
    Abstract: According to the embodiments, a method for pattern formation includes: creating a first self-assembly material layer which contains a first segment and a second segment, on a substrate on which a guide layer is installed; creating a first self-assembled pattern in which the first self-assembly material layer is phase-separated, the pattern including a first area containing the first segment and a second area containing the second segment; creating a second self-assembly material layer which includes a third segment and a fourth segment, in the first self-assembled pattern; creating a second self-assembled pattern in which the second self-assembly material layer is phase-separated, and which includes a third area containing the third segment and a fourth area containing the fourth segment.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: March 17, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ayako Kawanishi, Tsukasa Azuma
  • Patent number: 8981337
    Abstract: The various technologies presented herein relate to a three dimensional manufacturing technique for application with semiconductor technologies. A membrane layer can be formed over a cavity. An opening can be formed in the membrane such that the membrane can act as a mask layer to the underlying wall surfaces and bottom surface of the cavity. A beam to facilitate an operation comprising any of implantation, etching or deposition can be directed through the opening onto the underlying surface, with the opening acting as a mask to control the area of the underlying surfaces on which any of implantation occurs, material is removed, and/or material is deposited. The membrane can be removed, a new membrane placed over the cavity and a new opening formed to facilitate another implantation, etching, or deposition operation. By changing the direction of the beam different wall/bottom surfaces can be utilized to form a plurality of structures.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: March 17, 2015
    Assignee: Sandia Corporation
    Inventors: David Bruce Burckel, Paul S. Davids, Paul J. Resnick, Bruce L. Draper
  • Patent number: 8980754
    Abstract: Methods of removing photoresists from low-k dielectric films are described. For example, a method includes forming and patterning a photoresist layer above a low-k dielectric layer, the low-k dielectric layer disposed above a substrate. Trenches are formed in the exposed portions of the low-k dielectric layer. A plurality of process cycles is performed to remove the photoresist layer. Each process cycle includes forming a silicon source layer on surfaces of the trenches of the low-k dielectric layer, and exposing the photoresist layer to an oxygen source to form an Si—O-containing layer on the surfaces of the trenches of the low-k dielectric layer and to remove at least a portion of the photoresist layer.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. P. Pender
  • Publication number: 20150072529
    Abstract: The present invention provides a method of forming via holes. First, a substrate is provided. A plurality of first areas is defined on the substrate. A dielectric layer and a blocking layer are formed on the substrate. A patterned layer is formed on the blocking layer such that a sidewall of the blocking layer is completely covered by the patterned layer. The patterned layer includes a plurality of holes arranged in a regular array wherein the area of the hole array is greater than those of the first areas. The blocking layer in the first areas is removed by using the patterned layer as a mask. Lastly, the dielectric layer is patterned to form at least a via hole in the dielectric layer in the first area.
    Type: Application
    Filed: November 14, 2014
    Publication date: March 12, 2015
    Inventors: Cheng-Han Wu, Chun-Chi Yu
  • Patent number: 8975187
    Abstract: Disclosed is a method to form a titanium nitride (TiN) hard mask in the Damascene process of forming interconnects during the fabrication of a semiconductor device, while the type and magnitude of stress carried by the TiN hard mask is controlled. The TiN hard mask is formed in a multi-layered structure where each sub-layer is formed successively by repeating a cycle of processes comprising TiN and chlorine PECVD deposition, and N2/H2 plasma gas treatment. During its formation, the stress to be carried by the TiN hard mask is controlled by controlling the number of TiN sub-layers and the plasma gas treatment duration such that the stress may counter-balance predetermined external stress anticipated on a conventionally made TiN hard mask, which causes trench sidewall distortion, trench opening shrinkage, and gap filling problem.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Rueijer Lin, Chun-Chieh Lin, Hung-Wen Su, Minghsing Tsai
  • Patent number: 8975189
    Abstract: A method of forming a fine pattern comprises depositing a modifying layer on a substrate. A photoresist layer is deposited on the modifying layer, the photoresist layer having a first pattern. The modifying layer is etched according to the first pattern of the photoresist layer. A treatment is performed to the etched modifying layer to form a second pattern, the second pattern having a smaller line width roughness (LWR) and/or line edge roughness (LER) than the first pattern. The second pattern is then etched into the substrate.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih Wei Lu, Chung-Ju Lee, Tien-I Bao
  • Patent number: 8975188
    Abstract: A plasma etching method is provided for forming a hole using a first processing gas to etch a silicon layer of a substrate to be processed including a silicon oxide film that is formed into a predetermined pattern. The method includes a first depositing step (S11) of depositing a protective film on a surface of the silicon oxide film using a second processing gas containing carbon monoxide gas, a first etching step (S12) of etching the silicon layer using the first processing gas, a second depositing step (S13) of depositing the protective film on a side wall of a hole etched by the first etching step using the second processing gas, and a second etching step (S14) of further etching the silicon layer using the first processing gas. The second depositing step (S13) and the second etching step (S14) are alternately repeated at least two times each.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: March 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yusuke Hirayama, Kazuhito Tohnoe
  • Publication number: 20150064916
    Abstract: A method of forming a target pattern includes forming a first trench in a substrate with a cut mask; forming a first plurality of lines over the substrate with a first main mask, wherein the first main mask includes at least one line that overlaps the first trench and is thereby cut into at least two lines by the first trench; forming a spacer layer over the substrate and the first plurality of lines and over sidewalls of the first plurality of lines; forming a patterned material layer over the spacer layer with a second main mask thereby the patterned material layer and the spacer layer collectively define a second plurality of trenches; removing at least a portion of the spacer layer to expose the first plurality of lines; and removing the first plurality of lines thereby resulting a patterned spacer layer over the substrate.
    Type: Application
    Filed: August 30, 2013
    Publication date: March 5, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ru-Gun Liu, Hung-Chang Hsieh, Tien-I Bao, Chung-Ju Lee, Shau-Lin Shue
  • Publication number: 20150056809
    Abstract: Disclosed is an improved double patterning method for forming openings (e.g., vias or trenches) or mesas on a substrate. This method avoids the wafer topography effects seen in prior art double patterning techniques by ensuring that the substrate itself is only subjected to a single etch process. Specifically, in the method, a first mask layer is formed on the substrate and processed such that it has a doped region and multiple undoped regions within the doped region. Then, either the undoped regions or the doped region can be selectively removed in order to form a mask pattern above the substrate. Once the mask pattern is formed, an etch process can be performed to transfer the mask pattern into the substrate. Depending upon whether the undoped regions are removed or the doped region is removed, the mask pattern will form openings (e.g., vias or trenches) or mesas, respectively, on the substrate.
    Type: Application
    Filed: September 30, 2014
    Publication date: February 26, 2015
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Ying Zhang
  • Patent number: 8962486
    Abstract: The present invention provides a method of forming an opening on a semiconductor substrate. First, a substrate is provided. Then a dielectric layer and a cap layer are formed on the substrate. A ratio of a thickness of the dielectric layer and a thickness of the cap layer is substantially between 15 and 1.5. Next, a patterned boron nitride layer is formed on the cap layer. Lastly, an etching process is performed by using the patterned hard mask as a mask to etch the cap layer and the dielectric layer so as to form an opening in the cap layer and the dielectric layer.
    Type: Grant
    Filed: December 30, 2013
    Date of Patent: February 24, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Yuan Wu, Chih-Chien Liu, Chin-Fu Lin, Po-Chun Chen
  • Patent number: 8962484
    Abstract: The present disclosure provides a method including providing a semiconductor substrate and forming a first layer and a second layer on the semiconductor substrate. The first layer is patterned to provide a first element, a second element, and a space interposing the first and second elements. Spacer elements are then formed on the sidewalls on the first and second elements of the first layer. Subsequently, the second layer is etched using the spacer elements and the first and second elements as a masking element.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: February 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia Ying Lee, Chih-Yuan Ting, Jyu-Horng Shieh, Minghsing Tsai, Syun-Ming Jang
  • Patent number: 8963281
    Abstract: Techniques are described to simultaneously form an isolation trench and a handle wafer contact without additional mask steps. In one or more implementations, an isolation trench and a handle wafer contact trench are simultaneously formed in a substrate. The substrate includes an insulating layer that defines a trench bottom of the handle wafer contact trench. A handle wafer is bonded to a bottom surface of the substrate. An oxide insulating layer is deposited in the isolation trench and the handle wafer contact trench. The oxide insulating layer is then etched so that the oxide insulating layer covering the trench bottom is at least partially removed. The trench bottom is then etched so that a top surface of the handle wafer is at least partially exposed. The handle wafer contact trench may then be at least partially filled with an electrical conductive material.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: February 24, 2015
    Assignee: Maxim Integrated Products, Inc.
    Inventor: Christopher S. Blair
  • Patent number: 8956983
    Abstract: Disclosed herein are methods of doping a patterned substrate in a reaction chamber. The methods may include forming a first conformal film layer which has a dopant source including a dopant, and driving some of the dopant into the substrate to form a conformal doping profile. In some embodiments, forming the first film layer may include introducing a dopant precursor into the reaction chamber, adsorbing the dopant precursor under conditions whereby it forms an adsorption-limited layer, and reacting the adsorbed dopant precursor to form the dopant source. Also disclosed herein are apparatuses for doping a substrate which may include a reaction chamber, a gas inlet, and a controller having machine readable code including instructions for operating the gas inlet to introduce dopant precursor into the reaction chamber so that it is adsorbed, and instructions for reacting the adsorbed dopant precursor to form a film layer containing a dopant source.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: February 17, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Shankar Swaminathan, Mandyam Sriram, Bart van Schravendijk, Pramod Subramonium, Adrien LaVoie
  • Publication number: 20150044874
    Abstract: According to one embodiment, a pattern formation method includes: forming a first guide layer having of first openings exposing a surface of an underlayer, and the first openings being arranged in a first direction; forming a second guide layer on the underlayer and on the first guide layer, the second guide layer extending in the first direction, the second guide layer dividing each of the first openings into the first opening portion and the second opening portion, and the second guide layer being sandwiched by a first opening portion and a second opening portion; forming a block copolymer layer in each of the first opening portion and the second opening portion; forming a first layer and a second layer surrounded by the first layer in each of the first opening portion and the second opening portion by phase-separating the block copolymer layer; and removing the second layer.
    Type: Application
    Filed: February 12, 2014
    Publication date: February 12, 2015
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Kentaro MATSUNAGA, Yoshihiro YANAI, Hirokazu KATO