Formation Of Groove Or Trench Patents (Class 438/700)
-
Patent number: 11646224Abstract: The present disclosure provides a method of fabricating a semiconductor structure with a reduced pitch (half-pitch feature) and a method of fabricating the same. The method includes providing a substrate; forming a dielectric layer disposed on the substrate; forming at least one main feature disposed in the dielectric layer and contacting the substrate; forming at least one first conductive feature disposed in the dielectric layer and on the main feature; forming at least one first spacer interposed between the dielectric layer and a portion of the first conductive feature; forming a plurality of second conductive features disposed in the dielectric layer and on either side of the first conductive feature; and forming a plurality of second spacers interposed between the dielectric layer and portions of the second conductive features.Type: GrantFiled: November 30, 2021Date of Patent: May 9, 2023Assignee: NANYA TECHNOLOGY CORPORATIONInventor: Hsih-Yang Chiu
-
Patent number: 11635691Abstract: A composition for forming an organic film contains a polymer having a partial structure shown by the following general formula (1) as a repeating unit, and an organic solvent. Each of AR1 and AR2 represents a benzene ring or naphthalene ring which optionally have a substituent; W1 represents a particular partial structure having a triple bond, and the polymer optionally contains two or more kinds of W1; and W2 represents a divalent organic group having 6 to 80 carbon atoms and at least one aromatic ring. This invention provides: a polymer curable even under film formation conditions in an inert gas and capable of forming an organic film which has not only excellent heat resistance and properties of filling and planarizing a pattern formed in a substrate, but also favorable film formability onto a substrate with less sublimation product; and a composition for forming an organic film, containing the polymer.Type: GrantFiled: July 6, 2020Date of Patent: April 25, 2023Assignee: SHIN-ETSU CHEMICAL CO., LTD.Inventors: Daisuke Kori, Takashi Sawamura, Keisuke Niida, Seiichiro Tachibana, Takeru Watanabe, Tsutomu Ogihara
-
Patent number: 11637381Abstract: In an antenna in package structure, a plurality of supporting blocks spaced apart from each other are disposed between a first substrate and a second substrate, and an antenna cavity is formed between every two adjacent supporting blocks. Therefore, a height of the supporting block determines a height of the antenna cavity. The supporting blocks spaced apart from each other are located between the first substrate and the second substrate, and at least one of the first substrate or the second substrate adheres to the supporting blocks spaced apart from each other using an adhesive layer.Type: GrantFiled: April 16, 2021Date of Patent: April 25, 2023Assignee: HUAWEI TECHNOLOGIES CO., LTD.Inventor: Ming Chang
-
Patent number: 11500292Abstract: An object of the present invention is to provide: a compound containing an imide group which is not only cured under film formation conditions of inert gas as well as air and has excellent heat resistance and properties of filling and planarizing a pattern formed on a substrate, but can also form an organic underlayer film with favorable adhesion to a substrate, and a material for forming an organic film containing the compound.Type: GrantFiled: April 16, 2020Date of Patent: November 15, 2022Assignee: SHIN-ETSU CHEMICAL CO., LTD.Inventors: Daisuke Kori, Keisuke Niida, Takashi Sawamura, Seiichiro Tachibana, Takeru Watanabe, Tsutomu Ogihara
-
Patent number: 11495491Abstract: A semiconductor device structure and a method for forming a semiconductor device structure are provided. The semiconductor device structure includes a semiconductor substrate and a first conductive structure over the semiconductor substrate. The semiconductor device structure also includes a first dielectric layer surrounding the first conductive structure and a second dielectric layer over the first dielectric layer. The semiconductor device structure further includes a second conductive structure partially surrounded by the second dielectric layer and partially surrounded by the first conductive structure. In addition, the semiconductor device structure includes an interfacial layer separating the first conductive structure from the second conductive structure.Type: GrantFiled: January 16, 2020Date of Patent: November 8, 2022Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Chun-Yuan Chen, Chia-Hao Chang, Cheng-Chi Chuang, Yu-Ming Lin, Chih-Hao Wang
-
Patent number: 11482474Abstract: A semiconductor device and method of manufacturing thereof are provided. The semiconductor device includes a substrate, a first dielectric layer, an isolation layer, a conductor and a liner layer. The substrate has a top surface and a bottom surface opposite the top surface. The first dielectric layer is on the bottom surface of the substrate, in which the first dielectric layer comprises an interconnect structure disposed therein. The isolation layer is on the top surface of the substrate. The conductor is disposed in the substrate and covers a portion of the isolation layer, in which the conductor includes a first portion connected to the interconnect structure and a second portion on the first portion, in which the first portion has a width greater than a width of the second portion. The liner layer is disposed between the substrate and the conductor.Type: GrantFiled: September 27, 2020Date of Patent: October 25, 2022Assignee: NANYA TECHNOLOGY CORPORATIONInventor: Yi-Jen Lo
-
Patent number: 11373865Abstract: A method for manufacturing a semiconductor device includes: forming a first film on a substrate; forming a second film containing at least carbon on the first film; forming a hole in the second film; and forming a recess, which communicates with the hole, in the first film by etching using the second film as a mask. In this method, the second film includes a first layer formed on the first film, and a second layer formed on the first layer. The first layer having a higher oxygen concentration than the second layer.Type: GrantFiled: August 27, 2020Date of Patent: June 28, 2022Assignee: KIOXIA CORPORATIONInventors: Takehiro Kondoh, Junichi Hashimoto, Soichi Yamazaki, Yuya Matsubara
-
Patent number: 11361971Abstract: In some methods, a first recess is etched in a selected region of a substrate. A first polymer liner is formed on sidewalls and a bottom surface of the first recess. A portion of the first polymer liner is removed from the bottom surface, and a remaining portion of the first polymer liner is left along the sidewalls. The first recess is deepened to establish a second recess while the remaining portion of the first polymer liner is left along the sidewalls. A first oxide liner is formed along the sidewalls and along sidewalls and a bottom surface of the second recess. A portion of the first oxide liner is removed from a bottom surface of the second recess, while a remaining portion of the first oxide liner is left on the sidewalls of the first recess and the sidewalls of the second recess.Type: GrantFiled: September 25, 2020Date of Patent: June 14, 2022Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Yu-Hsing Chang, Ming Chyi Liu, Shih-Chang Liu
-
Patent number: 11347145Abstract: High etch contrast materials provide the basis for using pre-patterned template structure with a template hardmask having periodic holes and filler within the holes that provides the basis for rapidly obtaining high resolution patterns guided by the template and high etch contrast resist. Methods are described for performing the radiation lithography, e.g., EUV radiation lithography, using the pre-patterned templates. Also, methods are described for forming the templates. The materials for forming the templates are described.Type: GrantFiled: April 1, 2020Date of Patent: May 31, 2022Assignee: Inpria CorporationInventors: Jason K. Stowers, Andrew Grenville
-
Patent number: 11322501Abstract: A method for fabricating a semiconductor device includes: forming a mold stack pattern including a plurality of openings in an upper portion of a substrate and including a mold layer and a supporter layer which are stacked; forming a bottom electrode layer filling the plurality of the openings and covering the supporter layer; forming a filler portion disposed inside the plurality of the openings, a barrier portion extended upwardly from the filler portion, and an electrode cutting portion exposing a surface of the supporter layer by selectively etching the bottom electrode layer; forming a supporter by using the barrier portion as an etch barrier and etching the supporter layer exposed by the electrode cutting portion; selectively removing the barrier portion to form a hybrid pillar-type bottom electrode disposed inside the plurality of the openings; and removing the mold layer.Type: GrantFiled: July 1, 2020Date of Patent: May 3, 2022Assignee: SK hynix Inc.Inventors: Jeong-Yeop Lee, Dong-Su Park, Jong-Bum Park, Sang-Do Lee, Jae-Min Lee, Kee-Jeung Lee, Jun-Soo Jang
-
Patent number: 11315877Abstract: A microelectronic device includes a stack structure, a staircase structure, conductive pad structures, and conductive contact structures. The stack structure includes vertically alternating conductive structures and insulating structures arranged in tiers. Each of the tiers individually includes one of the conductive structures and one of the insulating structures. The staircase structure has steps made up of edges of at least some of the tiers of the stack structure. The conductive pad structures are on the steps of the staircase structure and include beta phase tungsten. The conductive contact structures are on the conductive pad structures. Memory devices, electronic systems, and methods of forming microelectronic devices are also described.Type: GrantFiled: March 12, 2020Date of Patent: April 26, 2022Assignee: Micron Technology, Inc.Inventors: Jordan D. Greenlee, John D. Hopkins, Rita J. Klein, Everett A. McTeer, Lifang Xu, Daniel Billingsley, Collin Howder
-
Patent number: 11289558Abstract: The invention relates to: a light-emitting device which includes a first flexible substrate having a first electrode, a light-emitting layer over the first electrode, and a second electrode with a projecting portion over the light-emitting layer and a second flexible substrate having a semiconductor circuit and a third electrode electrically connected to the semiconductor circuit, in which the projecting portion of the second electrode and the third electrode are electrically connected to each other; a method for manufacturing the light-emitting device; and a cellular phone which includes a housing incorporating the light-emitting device and having a longitudinal direction and a lateral direction, in which the light-emitting device is disposed on a front side and in an upper portion in the longitudinal direction of the housing.Type: GrantFiled: July 16, 2020Date of Patent: March 29, 2022Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Kaoru Hatano, Satoshi Seo, Shunpei Yamazaki
-
Patent number: 11244804Abstract: An etching method includes: providing a substrate having a film and a patterned mask on the film; forming a silicon-containing layer including silicon, carbon, and nitrogen on the substrate using a precursor gas containing silicon; and performing a plasma etching on the film. The substrate is placed under a depressurized environment for a time period from a start time point of the step of forming the silicon-containing layer on the substrate to an end time point of the step of performing the plasma etching on the film.Type: GrantFiled: January 29, 2020Date of Patent: February 8, 2022Assignee: TOKYO ELECTRON LIMITEDInventors: Daisuke Nishide, Toru Hisamatsu, Shinya Ishikawa
-
Patent number: 11233123Abstract: The present disclosure describes an exemplary method to form p-type fully strained channel (PFSC) or an n-type fully strained channel (NFSC) that can mitigate epitaxial growth defects or structural deformations in the channel region due to processing. The exemplary method can include (i) two or more surface pre-clean treatment cycles with nitrogen trifluoride (NF3) and ammonia (NH3) plasma, followed by a thermal treatment; (ii) a prebake (anneal); and (iii) a silicon germanium epitaxial growth with a silicon seed layer, a silicon germanium seed layer, or a combination thereof.Type: GrantFiled: January 13, 2020Date of Patent: January 25, 2022Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Shahaji B. More, Huai-Tei Yang, Zheng-Yang Pan, Shih-Chieh Chang, Chun-Chieh Wang, Cheng-Han Lee
-
Patent number: 11152462Abstract: A semiconductor device includes a semiconductive substrate, a first semiconductive fin and a second semiconductive fin extending upwards from the semiconductive substrate, an isolation structure at least partially between the first semiconductive fin and the second semiconductive fin, a first semiconductive raised portion and a second semiconductive raised portion. The first semiconductive raised portion extends upwards from the semiconductive substrate, is buried under the isolation structure, and is between the first semiconductive fin and the second semiconductive fin. A top surface of the first semiconductive fin is higher than a top surface of the first semiconductive raised portion. The second semiconductive raised portion extends upwards from the semiconductive substrate, is buried under the isolation structure, and is between the first semiconductive raised portion and the second semiconductive fin.Type: GrantFiled: July 29, 2019Date of Patent: October 19, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Cong-Min Fang, Kang-Min Kuo, Shi-Min Wu
-
Patent number: 11152446Abstract: The present application relates to an OLED panel for a lighting device and a method of manufacturing the same. An OLED panel for a lighting device includes: a substrate; a auxiliary wiring pattern having a plurality of wiring lines disposed on the substrate; a first electrode disposed on the substrate where the auxiliary wiring pattern is disposed, and having a planarized upper surface; a passivation layer disposed on the first electrode and disposed at least in an area above the auxiliary wiring pattern; an OLED emission structure disposed on the first electrode; and a second electrode disposed on the OLED emission structure. In the OLED panel for a lighting device, luminance uniformity may be improved through a dual auxiliary wiring pattern, and the upper surface of the first electrode is planarized. Accordingly, the area of the passivation layer is reduced, and thus a light-emitting area may be increased.Type: GrantFiled: November 28, 2018Date of Patent: October 19, 2021Assignee: LG DISPLAY CO., LTD.Inventors: Kyu-Hwang Lee, Taejoon Song, Chulho Kim, Jongmin Kim
-
Patent number: 11097941Abstract: A method includes forming a recess in a first substrate, bonding a micro-electro-mechanical systems (MEMS) substrate to the first substrate after forming the recess in the first substrate, forming an anti-stiction layer over the micro-electro-mechanical systems (MEMS) substrate, pattering the anti-stiction layer, etching the MEMS substrate to form a MEMS device, and bonding the MEMS device and the first substrate to a second substrate. The patterned anti-stiction layer is between the MEMS device and the second substrate.Type: GrantFiled: April 29, 2019Date of Patent: August 24, 2021Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Yi-Hsien Chang, Tzu-Heng Wu, Chun-Ren Cheng, Shih-Wei Lin, Jung-Kuo Tu
-
Patent number: 11087973Abstract: Embodiments of the invention address several issues and problems associated with etching of dielectric materials for BEOL applications. According to one embodiment, the method includes providing a patterned substrate containing a dielectric material, exposing the substrate to a gas phase plasma to functionalize a surface of the dielectric material, exposing the substrate to a silanizing reagent that reacts with the functionalized surface of the dielectric material to form a dielectric film, and sequentially repeating the exposing steps at least once to increase a thickness of the dielectric film. According to one embodiment, the dielectric material may be a porous low-k material, and the dielectric film seals the pores on a surface of the porous low-k material.Type: GrantFiled: December 15, 2017Date of Patent: August 10, 2021Assignee: Tokyo Electron LimitedInventors: Yannick Feurprier, Doni Parnell
-
Patent number: 11024534Abstract: A semiconductor device and a method for manufacturing the same. When a pattern for etching is formed through photolithography after forming a photoresist layer on an adhesion layer, a sub-resolution auxiliary pattern of the mask is above the non-lead-out region, and an exposable pattern of the mask is above a lead-out region. In the photolithography, a first partial exposure region exposed partially in depth is formed in the photoresist layer corresponding to the sub-resolution auxiliary pattern, and an exposed pattern that is exposed completely is formed in the photoresist layer corresponding to the exposable pattern. After anisotropic etching on the adhesion layer through the photoresist layer, both an opening running through a partial thickness of the adhesion layer and a via hole running through the adhesion layer are formed. The opening balances a load in planarization during a process of filling the via hole.Type: GrantFiled: September 24, 2019Date of Patent: June 1, 2021Assignee: Wuhan Xinxin Semiconductor Manufacturing Co., Ltd.Inventors: Beibei Sheng, Sheng Hu
-
Patent number: 11004751Abstract: A semiconductor device includes a substrate with a first semiconductor fin and a second semiconductor fin formed thereon. A pair of opposing dielectric trench spacers are between the first and second semiconductor fins. The opposing dielectric trench spacers define an isolation region therebetween. The semiconductor device further includes a shallow trench isolation (STI) element formed in the isolation region. The STI element includes a lower portion on the substrate and an upper portion located opposite the lower portion. The upper portion extends above an upper end of the dielectric trench spacers.Type: GrantFiled: February 25, 2019Date of Patent: May 11, 2021Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Kangguo Cheng, Juntao Li, Dexin Kong, Zhenxing Bi
-
Patent number: 10964587Abstract: An atomic layer deposition (ALD) technique is used to deposit one or more layers on hard mask layers and the sidewalls of low-K dielectric trench as part of the trench etch process. The ALD layer(s) can prevent the hard mask from being eroded during various hard mask open processes. Further, the ALD layer(s) may be utilized to prevent the low-K dielectric sidewall from being laterally etched during the low-K dielectric trench etch. Hence, better control of the trench profile and better critical dimension control may be provided.Type: GrantFiled: May 17, 2019Date of Patent: March 30, 2021Assignee: Tokyo Electron LimitedInventors: Yen-Tien Lu, David O'Meara, Angelique Raley, Xinghua Sun
-
Patent number: 10950501Abstract: Fabrication schemes based on triblock copolymers for forming self-aligning vias or contacts for back end of line interconnects, and the resulting structures, are described. In an example, a method of fabricating an interconnect structure for a semiconductor die includes forming a lower metallization layer including alternating metal lines and dielectric lines above a substrate. The method also includes forming a triblock copolymer layer above the lower metallization layer. The method also includes segregating the triblock copolymer layer to form a first segregated block component over the dielectric lines of the lower metallization layer, and to form alternating second and third segregated block components disposed over the metal lines of the lower metallization layer, where the third segregated block component is photosensitive.Type: GrantFiled: December 21, 2015Date of Patent: March 16, 2021Assignee: Intel CorporationInventors: Todd R. Younkin, Eungnak Han, Shane M. Harlson, James M. Blackwell
-
Patent number: 10896941Abstract: The invention relates to: a light-emitting device which includes a first flexible substrate having a first electrode, a light-emitting layer over the first electrode, and a second electrode with a projecting portion over the light-emitting layer and a second flexible substrate having a semiconductor circuit and a third electrode electrically connected to the semiconductor circuit, in which the projecting portion of the second electrode and the third electrode are electrically connected to each other; a method for manufacturing the light-emitting device; and a cellular phone which includes a housing incorporating the light-emitting device and having a longitudinal direction and a lateral direction, in which the light-emitting device is disposed on a front side and in an upper portion in the longitudinal direction of the housing.Type: GrantFiled: September 26, 2016Date of Patent: January 19, 2021Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Kaoru Hatano, Satoshi Seo, Shunpei Yamazaki
-
Patent number: 10886384Abstract: A method of forming a vertical fin field effect transistor with a self-aligned gate structure, comprising forming a plurality of vertical fins on a substrate, forming gate dielectric layers on opposite sidewalls of each vertical fin, forming a gate fill layer between the vertical fins, forming a fin-cut mask layer on the gate fill layer, forming one or more fin-cut mask trench(es) in the fin-cut mask layer, and removing portions of the gate fill layer and vertical fins not covered by the fin-cut mask layer to form one or more fin trench(es), and two or more vertical fin segments from each of the plurality of vertical fins, having a separation distance, D1, between two vertical fin segments.Type: GrantFiled: August 17, 2018Date of Patent: January 5, 2021Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Kangguo Cheng, Xin Miao, Wenyu Xu, Chen Zhang
-
Patent number: 10847460Abstract: Examples of an integrated circuit a having an advanced two-dimensional (2D) metal connection with metal cut and methods of fabricating the same are provided. An example method for fabricating a conductive interconnection layer of an integrated circuit may include: patterning a conductive connector portion on the conductive interconnection layer of the integrated circuit using extreme ultraviolet (EUV) lithography, wherein the conductive connector portion is patterned to extend across multiple semiconductor structures in a different layer of the integrated circuit; and cutting the conductive connector portion into a plurality of conductive connector sections, wherein the conductive connector portion is cut by removing conductive material from the metal connector portion at one or more locations between the semiconductor structures.Type: GrantFiled: September 25, 2019Date of Patent: November 24, 2020Assignee: Taiwan Semiconductor Manufacturing Company LimitedInventors: Chih-Liang Chen, Cheng-Chi Chuang, Chih-Ming Lai, Chia-Tien Wu, Charles Chew-Yuen Young, Hui-Ting Yang, Jiann-Tyng Tzeng, Kam-Tou Sio, Ru-Gun Liu, Shun Li Chen, Shih-Wei Peng, Tien-Lu Lin
-
Patent number: 10847517Abstract: A semiconductor device includes a semiconductor substrate having a gate trench including of an upper trench and a lower trench. The upper trench is wider than the lower trench. A gate is embedded in the gate trench. The gate includes an upper portion and a lower portion. A first gate dielectric layer is between the upper portion and a sidewall of the upper trench. The first gate dielectric layer has a first thickness. A second gate dielectric layer is between the lower portion and a sidewall of the lower trench and between the lower portion and a bottom surface of the lower trench. The second gate dielectric layer has a second thickness that is smaller than the first thickness.Type: GrantFiled: June 18, 2019Date of Patent: November 24, 2020Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.Inventors: Tsuo-Wen Lu, Ger-Pin Lin, Tien-Chen Chan, Shu-Yen Chan
-
Patent number: 10818556Abstract: A method for forming a semiconductor structure is provided. Multiple fins extending along a first direction are formed in a semiconductor substrate. The multiple fins includes a group of active fins, a pair of protection fins sandwiching about the group the active fins, and at least one dummy fin around the pair of protection fins. A fin cut process is performed to remove the at least one dummy fin around the pair of protection fins. After performing the fin cut process, trench isolation structures are formed within the trenches between the multiple fins. The trench isolation structures are subjected to an anneal process. After annealing the trench isolation structures, the pair of protection fins is removed.Type: GrantFiled: December 17, 2018Date of Patent: October 27, 2020Assignee: UNITED MICROELECTRONICS CORP.Inventors: Hao-Yeh Liu, Jia-Feng Fang, Yu-Hsiang Lin, Ching-Hsiang Chiu, Chia-Wei Liu
-
Patent number: 10804395Abstract: The present disclosure provides a semiconductor device structure in accordance with some embodiments. In some embodiments, the semiconductor device structure includes a semiconductor substrate of a first semiconductor material and having first recesses. The semiconductor device structure further includes a first gate stack formed on the semiconductor substrate and being adjacent the first recesses. In some examples, a passivation material layer of a second semiconductor material is formed in the first recesses. In some embodiments, first source and drain (S/D) features of a third semiconductor material are formed in the first recesses and are separated from the semiconductor substrate by the passivation material layer. In some cases, the passivation material layer is free of chlorine.Type: GrantFiled: April 15, 2019Date of Patent: October 13, 2020Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Chun Hsiung Tsai, Yuan-Ko Hwang
-
Patent number: 10745270Abstract: Provided herein is a method including fusion bonding a handle wafer to a first side of a device wafer. A hardmask is deposited on a second side of the device wafer, wherein the second side is planar. The hardmask is etched to form a MEMS device pattern and a standoff pattern. Standoffs are formed on the device wafer, wherein the standoffs are defined by the standoff pattern. A eutectic bond metal is deposited on the standoffs, the device wafer, and the hardmask. A first photoresist is deposited and removed, such that the first photoresist covers the standoffs. The eutectic bond metal is etched using the first photoresist. The MEMS device pattern is etched into the device wafer. The first photoresist and the hardmask are removed.Type: GrantFiled: June 13, 2019Date of Patent: August 18, 2020Assignee: InvenSense, Inc.Inventors: Daesung Lee, Dongyang Kang, Chienlu Chang, Bongsang Kim, Alan Cuthbertson
-
Patent number: 10734284Abstract: A method of self-aligned double patterning is disclosed in the present invention, which includes the step of forming multiple mandrels on a hard mask layer and spacers at two sides of each mandrel, forming a protection layer filling between the spacers, removing the mandrels to expose the hard mask layer, and performing an anisotropic etch process using the spacers and the protection layer as an etch mask to remove a portion of hard mask layer, so that a thickness of hard mask layer exposed between the spacers equals to a thickness of hard mask layer under the protection layer.Type: GrantFiled: September 19, 2018Date of Patent: August 4, 2020Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.Inventors: Feng-Yi Chang, Fu-Che Lee, Ying-Chih Lin, Gang-Yi Lin, Chieh-Te Chen, Yi-Ching Chang
-
Patent number: 10714340Abstract: According to an embodiment, a wafer W includes a layer EL to be etched, an organic film OL, an antireflection film AL, and a mask MK1, and a method MT according to an embodiment includes a step of performing an etching process on the antireflection film AL by using the mask MK1 with plasma generated in a processing container 12, in the processing container 12 of a plasma processing apparatus 10 in which the wafer W is accommodated, and the step includes steps ST3a to ST4 of conformally forming a protective film SX on the surface of the mask MK1, and steps ST6a to ST7 of etching the antireflection film AL by removing the antireflection film AL for each atomic layer by using the mask MK1 on which the protective film SX is formed.Type: GrantFiled: March 27, 2017Date of Patent: July 14, 2020Assignee: TOKYO ELECTRON LIMITEDInventors: Yoshihide Kihara, Toru Hisamatsu, Tomoyuki Oishi
-
Patent number: 10714382Abstract: Methods for forming conductive regions of a metallization network with reduced leakage current and capacitance are described. Aspects of the invention include forming a trench in a dielectric material on the substrate, forming a first liner layer in a first portion of the trench, forming a second liner layer in a second portion of the trench, and forming a conductive material over the second liner layer in the trench.Type: GrantFiled: October 11, 2018Date of Patent: July 14, 2020Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Raghuveer Patlolla, Cornelius Brown Peethala, Chih-Chao Yang
-
Patent number: 10658181Abstract: A method of spacer-defined direct patterning in semiconductor fabrication includes: providing a photoresist structure having a target width of lines; trimming the photoresist structures such that a width of each trimmed photoresist structure is smaller than the target width; depositing an oxide film on the template, thereby entirely covering with the oxide film an exposed top surface of the template and the trimmed photoresist structures; etching the oxide film-covered template to remove an unwanted portion of the oxide film without removing the trimmed photoresist structures so as to form vertical spacers isolated from each other, each spacer substantially maintaining the target width and being constituted by the trimmed photoresist structures and a vertical portion of the oxide film covering sidewalls of the trimmed photoresist structures; and etching the spacer-formed template to transfer a pattern constituted by the spacers to the template.Type: GrantFiled: February 20, 2018Date of Patent: May 19, 2020Assignee: ASM IP Holding B.V.Inventors: Toshihisa Nozawa, Dai Ishikawa, Tomohiro Kubota
-
Patent number: 10658458Abstract: A method of forming a semiconductor structure is disclosed. A fin structure is formed on a substrate and a trench is formed in the fin structure. The trench has a top corner, an upper portion having an upper sidewall and a lower portion having a lower sidewall. A first dielectric layer is then formed on the substrate and fills the lower portion of the trench. After that, a second dielectric layer is formed on the substrate and covers the top corner and the upper sidewall of the trench. The second dielectric layer also covers an upper surface of the first dielectric layer.Type: GrantFiled: July 5, 2018Date of Patent: May 19, 2020Assignee: UNITED MICROELECTRONCIS CORP.Inventors: I-Ming Tseng, Chun-Hsien Lin, Wen-An Liang
-
Patent number: 10658189Abstract: A method of selectively etching a first region R1 made of silicon oxide with respect to a second region R2 made of silicon nitride by performing a plasma processing upon a processing target object is provided. The processing target object has the second region R2 forming a recess; the first region R1 configured to fill the recess; and a mask MK provided on the first region R1. The method includes a first process of generating plasma of a processing gas containing a fluorocarbon gas, and a second process of etching the first region with radicals of fluorocarbon contained in a deposit. In the second process, a high frequency power contributing to the generating of the plasma is applied in a pulse shape, and these processes are repeated.Type: GrantFiled: May 16, 2017Date of Patent: May 19, 2020Assignee: TOKYO ELECTRON LIMITEDInventors: Yoshinari Hatazaki, Wakako Ishida, Kensuke Taniguchi
-
Patent number: 10618805Abstract: Methods are provided for manufacturing well-controlled, solid-state nanopores and arrays of well-controlled, solid-state nanopores by a cyclic process including atomic layer deposition (ALD), or chemical vapor deposition (CVD), and etching. One or more features are formed in a thin film deposited on a topside of a substrate. A dielectric material is deposited over the substrate having the one or more features in the thin film. An etching process is then used to etch a portion of the dielectric material deposited over the substrate having the one or more features in the thin film. The dielectric material deposition and etching processes are optionally repeated to reduce the size of the features until a well-controlled nanopore is formed through the thin film on the substrate.Type: GrantFiled: September 5, 2018Date of Patent: April 14, 2020Assignee: APPLIED MATERIALS, INC.Inventors: Joseph R. Johnson, Kenichi Ohno
-
Patent number: 10607835Abstract: An etching method is provided for etching a silicon-containing layer into a pattern of a mask that is formed by etching—from a block copolymer layer that includes a first polymer and a second polymer, that is layered on the silicon-containing layer of a process-target object via an intermediate layer, and that is enabled to be self-assembled—a region including the second polymer and the intermediate layer right under the region. The method includes forming a protective film on the mask by arranging upper and lower electrodes facing each other, by applying a negative DC voltage to the upper electrode in a processing chamber of a plasma processing apparatus in which the process-target object is provided, by applying high-frequency power to the upper or lower electrode, and by supplying a process gas including a hydrogen gas and an inert gas into the processing chamber to generate plasma.Type: GrantFiled: November 15, 2016Date of Patent: March 31, 2020Assignee: Tokyo Electron LimitedInventor: Yuki Takanashi
-
Patent number: 10573715Abstract: Embodiments of the present disclosure describe techniques for backside isolation for devices of an integrated circuit (IC) and associated configurations. The IC may include a plurality of devices (e.g., transistors) formed on a semiconductor substrate. The semiconductor substrate may include substrate regions on which one or more devices are formed. Trenches may be disposed between the devices on the semiconductor substrate. Portions of the semiconductor substrate between the substrate regions may be removed to expose the corresponding trenches and form isolation regions. An insulating material may be formed in the isolation regions. Other embodiments may be described and/or claimed.Type: GrantFiled: December 17, 2015Date of Patent: February 25, 2020Assignee: Intel CorporationInventors: Aaron D. Lilak, Rishabh Mehandru, Harold W. Kennel, Paul B. Fischer, Stephen M. Cea
-
Patent number: 10566184Abstract: A process of forming a silicon nitride film on a nitride semiconductor layer as a passivation film is disclosed. The process first sets a temperature lower than 500° C. to load into a growth reactor, a wafer that provides the nitride semiconductor layer thereon. Then, the process raises the temperature to a deposition temperature higher than 750° C. while replacing the atmosphere in the reactor with pure ammonia (NH3), or a mixed gas of NH3 and N2 with a NH3 partial pressure greater than 0.2, and sets the pressure higher than 3 kPa. Finally, with the pressure lower than 100 Pa and di-chloro-silane (SiH2Cl2) supplied, the SiN is deposited on the nitride semiconductor layer.Type: GrantFiled: March 30, 2018Date of Patent: February 18, 2020Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.Inventor: Kazuhide Sumiyoshi
-
Patent number: 10546772Abstract: A plurality of interconnect features are formed in an interconnect layer on a first insulating layer on a substrate. An opening in the first insulating layer is formed through at least one of the interconnect features. A gap fill layer is deposited in the opening.Type: GrantFiled: March 30, 2016Date of Patent: January 28, 2020Assignee: Intel CorporationInventors: Manish Chandhok, Richard E. Schenker, Hui Jae Yoo, Kevin L. Lin, Jasmeet S. Chawla, Stephanie A. Bojarski, Satyarth Suri, Colin T. Carver, Sudipto Naskar
-
Patent number: 10535702Abstract: An image sensor includes a first photodiode formed in a first substrate. A first deep-trench isolation (DTI) structure is in the first substrate and surrounds the first photodiode. A first inter-dielectric layer having a first circuit structure is formed on the first substrate. A bonding layer is between the first inter-dielectric layer and a second inter-dielectric layer. The second-inter dielectric layer having a second circuit structure is on the bonding layer. A connection wall is disposed in the first inter-dielectric layer, the bonding layer, and the second inter-dielectric layer to physically connect the first circuit structure and the second circuit structure. A second substrate is disposed on the second inter-dielectric layer. A second photodiode is formed in the second substrate. A second DTI structure is in the second substrate and surrounds the second photodiode.Type: GrantFiled: October 31, 2018Date of Patent: January 14, 2020Assignee: United Microelectronics Corp.Inventor: Cheng-Yu Hsieh
-
Patent number: 10468271Abstract: A dry etching method, including: etching a silicon-containing thin film with a first gas by a first preset thickness; etching the silicon-containing thin film with a second gas by a second preset thickness, to remove etching residues generated after etching the silicon-containing thin film by the first preset thickness; after the etching residues are removed, etching the silicon-containing thin film with the first gas by a third preset thickness, which is less than the first preset thickness; wherein the first gas includes chlorine gas, and the second gas includes fluoride gas.Type: GrantFiled: August 22, 2018Date of Patent: November 5, 2019Assignee: BOE TECHNOLOGY GROUP CO., LTD.Inventors: Qingzhao Liu, Jiushi Wang, Lei Zhao
-
Patent number: 10388728Abstract: Structures that include an airgap and methods for forming a structure that includes an airgap. A layer stack is epitaxially grown on a substrate and includes a first semiconductor layer and a second semiconductor layer on a substrate. A plurality of openings are formed that extend through a device region of the first semiconductor layer to the second semiconductor layer. The second semiconductor layer is etched through the openings and selective to the substrate and the first semiconductor layer so as to form an airgap that is arranged in a vertical direction between the substrate and the device region. A device structure is formed in the device region of the first semiconductor layer.Type: GrantFiled: March 5, 2018Date of Patent: August 20, 2019Assignee: GLOBALFOUNDRIES Inc.Inventors: Michael Zierak, Anthony K. Stamper, John J. Pekarik, Vibhor Jain
-
Patent number: 10340177Abstract: Intermediate semiconductor devices and methods of reducing damage during back end of the line (BEOL) metallization and metal one (M1) layer integration scheme are provided. One method includes, for instance: obtaining a wafer having at least one contact region; depositing on the wafer a thin film stack having at least one layer of amorphous silicon (a-Si); performing lithography to pattern at least one opening; performing lithography to pattern at least one via opening and at least one trench opening; and removing the at least one a-Si layer. One intermediate semiconductor device includes, for instance: a wafer having at least one contact region; at least one first dielectric layer on the device; at least one second dielectric layer on the at least one first dielectric layer; and at least one a-Si layer on the at least one second dielectric layer.Type: GrantFiled: February 19, 2016Date of Patent: July 2, 2019Assignee: GLOBALFOUNDRIES Inc.Inventors: Ashwini Chandrashekar, Anbu Selvam Km Mahalingam, Craig Michael Child, Jr.
-
Patent number: 10273152Abstract: Methods for manufacturing MEMS structures are provided. The method includes forming a first trench and a second trench in a MEMS substrate by performing a main etching process and etching the MEMS substrate through the first trench and the second trench to form a first through hole and an extended second trench by performing a first step of an over-etching process. The method further includes etching the MEMS substrate through the extended second trench to form a second through hole by performing a second step of the over-etching process. In addition, a width of the first trench is greater than a width of the second trench, and a height of the first trench is greater than ¾ of a height of the MEMS substrate, and a height of the second trench is smaller than ? of the MEMS substrate.Type: GrantFiled: January 31, 2018Date of Patent: April 30, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Chin-Han Meng, Jr-Sheng Chen, Chih-Hsien Hsu, Yu-Pei Chiang, Lin-Ching Huang
-
Patent number: 10214833Abstract: The present invention relates to additive manufacturing methods, in which crystalline materials can be formed by using a liquid precursor. In particular embodiments, the crystalline material is a perovskite. The methods include the use of a thermal voxel, which can be translated to form any arbitrary pattern of initial crystalline seed structure(s). Then, the seed structure can be incubated to promote crystal growth and/or crystal dissolution, thereby providing a patterned crystalline material.Type: GrantFiled: July 22, 2016Date of Patent: February 26, 2019Assignee: National Technology & Engineering Solutions of Sandia, LLCInventors: Bryan James Kaehr, Stanley Shihyao Chou
-
Patent number: 10153165Abstract: The present invention pertains to a patterning method. By taking advantage of the etching loading effect due to different pattern densities in the memory cell region and the peripheral region, the first hard mask is not masked when anisotropically etching the first hard mask within the memory cell region.Type: GrantFiled: January 11, 2018Date of Patent: December 11, 2018Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.Inventors: Feng-Yi Chang, Fu-Che Lee, Ming-Feng Kuo, Chien-Cheng Tsai
-
Patent number: 10109582Abstract: Examples of an integrated circuit a having an advanced two-dimensional (2D) metal connection with metal cut and methods of fabricating the same are provided. An example method for fabricating a conductive interconnection layer of an integrated circuit may include: patterning a conductive connector portion on the conductive interconnection layer of the integrated circuit using extreme ultraviolet (EUV) lithography, wherein the conductive connector portion is patterned to extend across multiple semiconductor structures in a different layer of the integrated circuit; and cutting the conductive connector portion into a plurality of conductive connector sections, wherein the conductive connector portion is cut by removing conductive material from the metal connector portion at one or more locations between the semiconductor structures.Type: GrantFiled: March 10, 2017Date of Patent: October 23, 2018Assignee: Taiwan Semiconductor Manufacturing Company LimitedInventors: Chih-Liang Chen, Cheng-Chi Chuang, Chih-Ming Lai, Chia-Tien Wu, Charles Chew-Yuen Young, Hui-Ting Yang, Jiann-Tyng Tzeng, Kam-Tou Sio, Ru-Gun Liu, Shun Li Chen, Shih-Wei Peng, Tien-Lu Lin
-
Patent number: 10094797Abstract: A semiconductor-based multi-sensor module integrates miniature temperature, pressure, and humidity sensors onto a single substrate. Pressure and humidity sensors can be implemented as capacitive thin film sensors, while the temperature sensor is implemented as a precision miniature Wheatstone bridge. Such multi-sensor modules can be used as building blocks in application-specific integrated circuits (ASICs). Furthermore, the multi-sensor module can be built on top of existing circuitry that can be used to process signals from the sensors. An integrated multi-sensor module that uses differential sensors can measure a variety of localized ambient environmental conditions substantially simultaneously, and with a high level of precision. The multi-sensor module also features an integrated heater that can be used to calibrate or to adjust the sensors, either automatically or as needed.Type: GrantFiled: May 25, 2017Date of Patent: October 9, 2018Assignee: STMICROELECTRONICS PTE LTD.Inventors: Olivier Le Neel, Ravi Shankar, Suman Cherian, Calvin Leung, Tien-Choy Loh, Shian-Yeu Kam
-
Patent number: 10090378Abstract: Capacitors and methods of forming the same include forming a self-assembled pattern of periodic first and second domains using first and second block copolymer materials over a substrate. The second block copolymer material is etched away. Material from the substrate is etched based on a pattern defined by the first block copolymer material to form cavities in the substrate. A capacitor stack is conformally deposited over the substrate, such that the capacitor stack is formed on horizontal surfaces of the substrate and vertical surfaces of the cavities.Type: GrantFiled: March 17, 2017Date of Patent: October 2, 2018Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Kisup Chung, Isabel C. Estrada-Raygoza, Hemanth Jagannathan, Chi-Chun Liu, Yann A. M. Mignot, Hao Tang