Plural Coating Steps Patents (Class 438/703)
  • Patent number: 9842804
    Abstract: An integrated circuit structure includes a first low-k dielectric layer having a first k value, and a second low-k dielectric layer having a second k value lower than the first k value. The second low-k dielectric layer is overlying the first low-k dielectric layer. A dual damascene structure includes a via with a portion in the first low-k dielectric layer, and a metal line over and joined to the via. The metal line includes a portion in the second low-k dielectric layer.
    Type: Grant
    Filed: January 4, 2016
    Date of Patent: December 12, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chao-Chun Wang, Chung-Chi Ko, Po-Cheng Shih
  • Patent number: 9837486
    Abstract: A method for treating a compound semiconductor substrate, in which method in vacuum conditions a surface of an In-containing III-As, III-Sb or III-P substrate is cleaned from amorphous native oxides and after that the cleaned substrate is heated to a temperature of about 250-550° C. and oxidized by introducing oxygen gas onto the surface of the substrate. The invention relates also to a compound semiconductor substrate, and the use of the substrate in a structure of a transistor such as MOSFET.
    Type: Grant
    Filed: September 15, 2015
    Date of Patent: December 5, 2017
    Assignee: Comptek Solutions Oy
    Inventors: Pekka Laukkanen, Jouko Lang, Marko Punkkinen, Marjukka Tuominen, Veikko Tuominen, Johnny Dahl, Juhani Vayrynen
  • Patent number: 9824896
    Abstract: A substrate is disposed on a substrate holder within a process module. The substrate includes a mask material overlying a target material with at least one portion of the target material exposed through an opening in the mask material. A plasma is generated in exposure to the substrate. For a first duration, a bias voltage is applied at the substrate holder at a first bias voltage setting corresponding to a high bias voltage level. For a second duration, after completion of the first duration, a bias voltage is applied at the substrate holder at a second bias voltage setting corresponding to a low bias voltage level. The second bias voltage setting is greater than 0 V. The first and second durations are repeated in an alternating and successive manner for an overall period of time necessary to remove a required amount of the target material exposed on the substrate.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: November 21, 2017
    Assignee: Lam Research Corporation
    Inventors: Zhongkui Tan, Qian Fu, Ying Wu, Qing Xu, John Drewery
  • Patent number: 9810980
    Abstract: Graphoepitaxy directed self-assembly methods generally include grafting a conformal layer of a polymer brush onto a topographic substrate. A planarization material, which functions as a sacrificial material is coated onto the topographic substrate. The planarization material is etched back to a top surface of the topographic substrate, wherein the etch back removes the polymer brush from the top surfaces of the topographic substrate. The remaining portion of the polymer brush is protected by the remaining planarization material below the top surface of the topographic substrate, which can be removed with a solvent to provide the topographic substrate with a conformal polymer brush below the top surface of the topographic substrate. The substrate is then coated with a block copolymer and annealed to direct self-assembly of the block copolymer. The methods mitigate island and/or hole defect formation.
    Type: Grant
    Filed: February 7, 2017
    Date of Patent: November 7, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, TOKYO ELECTRON LIMITED
    Inventors: Hongyun Cottle, Cheng Chi, Chi-Chun Liu, Kristin Schmidt
  • Patent number: 9812364
    Abstract: The disclosure relates to methods of fabricating semiconductor devices. A method of fabricating a semiconductor device is provided as follows. A target layer is formed. A hard mask layer is formed on the target layer. The hard mask layer is patterned to form an overlay mask pattern including a first mask pattern and a plateau-shaped mask pattern. The first mask pattern encloses the plateau-shaped mask pattern. The first mask pattern is spaced apart from the plateau-shaped mask pattern. The target layer is patterned using the overlay mask pattern to form a redundant fin and a plateau-shaped overlay mark. The redundant fin is removed.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: November 7, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Jong-Su Kim
  • Patent number: 9805941
    Abstract: Methods are provided for integrating atomic layer etch and atomic layer deposition by performing both processes in the same chamber or reactor. Methods involve sequentially alternating between atomic layer etch and atomic layer deposition processes to prevent feature degradation during etch, improve selectivity, and encapsulate sensitive layers of a semiconductor substrate.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: October 31, 2017
    Assignee: Lam Research Corporation
    Inventors: Keren Jacobs Kanarik, Jeffrey Marks, Harmeet Singh, Samantha Tan, Alexander Kabansky, Wenbing Yang, Taeseung Kim, Dennis M. Hausmann, Thorsten Lill
  • Patent number: 9803162
    Abstract: A liquid semiconductor device cleaning composition used in a process of fabricating a semiconductor integrated circuit, for removing a hard mask or a dry etch residue while suppressing damage to a low-dielectric-constant interlayer dielectric film and cobalt or a cobalt alloy, where the liquid semiconductor device cleaning composition contains hydrogen peroxide at 10-30% by mass, potassium hydroxide at 0.005-0.7% by mass, aminopolymethylene phosphonic acid at 0.00001-0.01% by mass, at least one selected from amines and azoles at 0.001-5% by mass and water. A semiconductor device can be cleaned by bringing the liquid cleaning composition into contact with the semiconductor device.
    Type: Grant
    Filed: March 31, 2015
    Date of Patent: October 31, 2017
    Assignee: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventor: Kenji Shimada
  • Patent number: 9805943
    Abstract: The invention provides a polymer for a resist under layer film composition, containing a repeating unit shown by the formula (1) and a repeating unit shown by the formula (3), wherein R01 independently represents a hydrogen atom or a methyl group; R02 represents a group selected from the formulae (1-1) to (1-3); R03 represents a saturated or unsaturated tertiary alkyl group having 4 to 20 carbon atoms and optionally containing an oxygen functional group; and A2 represents a single bond or a divalent linking group having 2 to 10 carbon atoms and containing an ester group, wherein the dotted line represents a bonding arm.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: October 31, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Rie Kikuchi, Takeru Watanabe, Seiichiro Tachibana, Tsutomu Ogihara
  • Patent number: 9786554
    Abstract: A method for forming conductive lines on a wafer comprises forming a first hardmask, a planarizing layer, a second hardmask, a layer of sacrificial mandrel material on the second hardmask, and patterning a mask on the layer of sacrificial material. A first sacrificial mandrel and a second sacrificial mandrel and a gap are formed. A layer of spacer material is deposited in the gap. Portions of the first sacrificial mandrel and the second sacrificial mandrel are removed, and exposed portions of the second hardmask, the planarizing layer and the first hardmask are removed to expose portions of the insulator layer. The second hardmask, the spacers, and the planarizing layer are removed. Exposed portions of the insulator layer are removed to form a trench in the insulator layer, and the trench is filled with a conductive material.
    Type: Grant
    Filed: June 8, 2016
    Date of Patent: October 10, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sean D. Burns, Lawrence A. Clevenger, Anuja E. DeSilva, Nelson M. Felix, Sivananda K. Kanakasabapathy, Yann A. M. Mignot, Christopher J. Penny, Roger A. Quon, Nicole A. Saulnier
  • Patent number: 9779960
    Abstract: One illustrative method disclosed herein includes, among other things, forming a fin-removal masking layer comprised of a plurality of line-type features, each of which is positioned above one of the fins, and a masking material positioned at least between adjacent features of the fin-removal masking layer and above portions of an insulating material in the trenches between the fins. The method also includes performing an anisotropic etching process through the fin-removal masking layer to remove the portions of the fins to be removed.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: October 3, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Min Gyu Sung, Catherine B. Labelle
  • Patent number: 9773700
    Abstract: A method for forming conductive contacts on a wafer comprises forming a first hardmask, planarizing layer, second hardmask, and a layer of sacrificial mandrel material, and removing portions of the layer of sacrificial mandrel material to expose portions of the second hardmask and form a first and second sacrificial mandrel. Spacers are formed adjacent to the sacrificial mandrels. A filler material is deposited on the second hardmask, and a first mask is formed on the filler material. An exposed portion of the second sacrificial mandrel is removed to form a first cavity. The depth of the first cavity is increased. The first mask, portions of the first and second sacrificial mandrels, the filler material, portions of the second hardmask, the spacers, portions of the planarization layer and the first hardmask are removed. A second cavity is formed and the first and second cavities are filled with a conductive material.
    Type: Grant
    Filed: June 8, 2016
    Date of Patent: September 26, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sean D. Burns, Lawrence A. Clevenger, Matthew E. Colburn, Sivananda K. Kanakasabapathy, Yann A. M. Mignot, Christopher J. Penny, Roger A. Quon, Nicole Saulnier
  • Patent number: 9768032
    Abstract: A method of forming a pattern including forming a feature layer on a substrate having first and second regions; forming a first guide pattern on the first region, the first guide pattern having openings therein, the openings exposing the feature layer; forming a second guide pattern covering the feature layer exposed through the first guide pattern on the first region and covering the second region; forming a block copolymer layer covering the first guide pattern and the second guide pattern on the first and second regions; phase-separating the block copolymer layer to form first vertical domains and a second vertical domain; removing the first vertical domains on the first region; and etching the first guide pattern and the feature layer using the second vertical domain as an etch mask on the first region to form a feature pattern having holes therein.
    Type: Grant
    Filed: March 29, 2016
    Date of Patent: September 19, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Seok-han Park
  • Patent number: 9761490
    Abstract: A method for forming a semiconductor device includes forming a device structure having a floating gate, control gate, sidewall spacers, and source and drain regions. The device structure includes contact-hole regions and non-contact-hole regions. The method also includes forming a photo resist layer overlying the contact hole regions in the device structure and exposing the non-contact-hole regions, and forming a protective layer overlying the sacrificial layer and the exposed non-contact-hole regions. Next, an interlayer dielectric layer overlying the protective layer, and CMP (chemical mechanical polishing) is used to remove the inter-layer dielectric layer and the protective layer from above the photo resist. The photo resist layer is then removed from the contact-hole regions to expose contact holes.
    Type: Grant
    Filed: October 8, 2015
    Date of Patent: September 12, 2017
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Yun Yang
  • Patent number: 9758612
    Abstract: A polymer, an organic layer composition, an organic layer, and a method of forming patterns, the polymer including a moiety represented by the following Chemical Formula 1:
    Type: Grant
    Filed: November 3, 2015
    Date of Patent: September 12, 2017
    Assignee: SAMSUNG SDI CO., LTD.
    Inventors: Ran Namgung, Hyo-Young Kwon, Seung-Hyun Kim, Dominea Rathwell, Soo-Hyoun Mun, Hyeon-Il Jung, Yu-Mi Heo
  • Patent number: 9704737
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having a first region, a second region, and a third region; forming a plurality of spacers on the first region, the second region, and the third region; forming a first patterned mask to cover the spacers on the first region and the second region; and removing the spacers on the third region.
    Type: Grant
    Filed: August 3, 2015
    Date of Patent: July 11, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Li-Wei Feng, Shih-Hung Tsai, Chao-Hung Lin, Shih-Fang Hong, Jyh-Shyang Jenq
  • Patent number: 9666726
    Abstract: Transistors and methods for fabricating the same include annealing channel portions of one or more semiconductor fins that are uncovered by a protective layer in a gaseous environment to reduce fin width, to produce a fin profile that is widest at the bottom and tapers toward the top, and to round corners of the one or more semiconductor fins.
    Type: Grant
    Filed: November 23, 2015
    Date of Patent: May 30, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, RENESAS ELECTRONICS CORPORATION
    Inventors: Veeraraghavan S. Basker, Shogo Mochizuki, Tenko Yamashita, Chun-Chen Yeh
  • Patent number: 9640542
    Abstract: A semiconductor device includes a stacked structure having first conductive layers stacked stepwise and first insulating layers interposed between the first conductive layers, wherein undercuts are formed under the first conductive layers and each of the first conductive layers includes a first region covered by the first conductive layer and a second region extending from the first region, contact pads coupled to the second regions of the respective first conductive layers, and a liner layer formed on the contact pads and filling the undercuts.
    Type: Grant
    Filed: April 4, 2016
    Date of Patent: May 2, 2017
    Assignee: SK Hynix Inc.
    Inventors: Ki Hong Lee, Seung Ho Pyi, Ji Yeon Baek
  • Patent number: 9601341
    Abstract: A method of etching a feature in a substrate includes forming a mask structure over the substrate, the mask structure defining at least one re-entrant opening, etching the substrate through the opening to form the feature using a cyclic etch and deposition process, and removing the mask.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: March 21, 2017
    Assignee: SPTS Technologies Limited
    Inventor: Huma Ashraf
  • Patent number: 9547743
    Abstract: According to one embodiment, stepped structure is formed on a semiconductor substrate, a processing film is formed to cover the stepped structure, a resist film is formed on the processing film in such a manner as to be thinner at a higher portion of the stepped structure than at a lower portion of the same, and the resist film and the processing film are etched to flatten the processing film.
    Type: Grant
    Filed: June 5, 2015
    Date of Patent: January 17, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takuro Urayama, Yoshihiro Yanai, Seiro Miyoshi
  • Patent number: 9502238
    Abstract: Methods for depositing conformal films using a halogen-containing etchant during atomic layer deposition are provided. Methods involve exposing a substrate to a halogen-containing etchant such as nitrogen trifluoride between exposing the substrate to a first precursor and exposing the substrate to a second plasma-activated reactant. Examples of conformal films that may be deposited include silicon-containing films and metal-containing films. Related apparatuses are also provided.
    Type: Grant
    Filed: April 3, 2015
    Date of Patent: November 22, 2016
    Assignee: Lam Research Corporation
    Inventors: Michal Danek, Jon Henri, Shane Tang
  • Patent number: 9484202
    Abstract: Embodiments herein provide apparatus and methods for performing a deposition and a patterning process on a spacer layer with good profile control in multiple patterning processes. In one embodiment, a method for depositing and patterning a spacer layer during a multiple patterning process includes conformally forming a spacer layer on an outer surface of a patterned structure disposed on a substrate, wherein the patterned structure has a first group of openings defined therebetween, selectively treating a first portion of the spacer layer formed on the substrate without treating a second portion of the spacer layer, and selectively removing the treated first portion of the spacer layer.
    Type: Grant
    Filed: June 3, 2015
    Date of Patent: November 1, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jie Zhou, Chentsau Ying, Shambhu N. Roy, Srinivas D. Nemani, Jingjing Liu, Ellie Y. Yieh
  • Patent number: 9406526
    Abstract: Techniques herein include methods for patterning substrates including methods for patterning contact openings. Using techniques herein, slot contacts and other openings can be created having a selectable width between approximately 1-30 nanometers or less. Methods include creating trench widths defined by diffusion lengths of photo acid as part of a double patterning scheme. These trenches can then be filled and a separate mask can then be used to isolate segments of trenches. The segments can then be extruded resulting in slot contact openings which are ready to be metallized. These slot contacts have a length defined by lithographic exposure techniques and a width defined by photo acid diffusion lengths.
    Type: Grant
    Filed: April 8, 2015
    Date of Patent: August 2, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Anton J. deVilliers
  • Patent number: 9356092
    Abstract: A method includes providing a semiconductor wafer including multiple semiconductor chips, forming a first scribe line on a frontside of the semiconductor wafer, wherein the first scribe line has a first width and separates semiconductor chips of the semiconductor wafer, forming a second scribe line on the frontside of the semiconductor wafer, wherein the second scribe line has a second width and separates semiconductor chips of the semiconductor wafer, wherein the first scribe line and the second scribe line intersect in a crossing area which is greater than a product of the first width and the second width, and plasma etching the semiconductor wafer in the crossing area.
    Type: Grant
    Filed: September 12, 2013
    Date of Patent: May 31, 2016
    Assignee: Infineon Technologies AG
    Inventors: Franco Mariani, Andreas Bauer, Reinhard Hess, Gerhard Leschik
  • Patent number: 9274414
    Abstract: A method of fabricating a mask is described. The method includes receiving receiving an integrated circuit (IC) design layout that has a first pattern layer including a first feature and has a second pattern layer including a second feature, wherein the first pattern layer and the second pattern layer are spatially related when formed in a substrate such that the first and second features are spaced a first distance between a first edge of the first feature and a second edge of the second feature, modifying the IC design layout by adjusting a dimension of the first feature based on the first distance, and generating a tape-out data from the modified IC design layout for mask making. The method further includes applying a logic operation (LOP) to the IC design layout.
    Type: Grant
    Filed: December 3, 2014
    Date of Patent: March 1, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lun Liu, Chia-Chu Liu, Kuei-Shun Chen, Chung-Ming Wang, Chie-Chieh Lin
  • Patent number: 9269763
    Abstract: A method for treating a compound semiconductor substrate, in which method in vacuum conditions a surface of an In-containing III-As, III-Sb or III-P substrate is cleaned from amorphous native oxides and after that the cleaned substrate is heated to a temperature of about 250-550° C. and oxidized by introducing oxygen gas onto the surface of the substrate. The invention relates also to a compound semiconductor substrate, and the use of the substrate in a structure of a transistor such as MOSFET.
    Type: Grant
    Filed: November 8, 2011
    Date of Patent: February 23, 2016
    Assignee: Turun Yliopisto
    Inventors: Pekka Laukkanen, Jouko Lang, Marko Punkkinen, Marjukka Tuominen, Veikko Tuominen, Johnny Dahl, Juhani Vayrynen
  • Patent number: 9269627
    Abstract: A method of forming semiconductor fins with variable pitches of arbitrary values in a sidewall image transfer (SIT) process is provided. After forming an array of first mandrel structures with a constant pitch and removing at least one first mandrel structure form the array, a set of second mandrel structures are formed overlapping the first mandrel structures. The combination of the first mandrel structures and the second mandrel structures defines pitches of sidewall spacer patterns to be subsequently formed.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: February 23, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Ali Khakifirooz, Alexander Reznicek, Tenko Yamashita
  • Patent number: 9263286
    Abstract: A novel diarylamine novolac resin such as a phenylnaphthylamine novolac resin, and further a resist underlayer film-forming composition in which the resin is used in a lithography process for manufacturing a semiconductor device. A polymer including a unit structure (A) of Formula (1): (in Formula (1), each of Ar1 and Ar2 is a benzene ring or a naphthalene ring). A method for manufacturing a semiconductor device, including: forming an underlayer film on a semiconductor substrate with the resist underlayer film-forming composition; forming a hardmask on the underlayer film; forming a resist film on the hardmask; forming a resist pattern by irradiation with light or an electron beam followed by development; etching the hardmask with the resist pattern; etching the underlayer film with the hardmask thus patterned; and processing the semiconductor substrate with the underlayer film thus patterned.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: February 16, 2016
    Assignee: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Rikimaru Sakamoto, Yasunobu Someya, Keisuke Hashimoto, Hirokazu Nishimaki
  • Patent number: 9245766
    Abstract: A semiconductor process for manufacturing particular patterns includes the steps of forming a target layer and evenly-spaced core bodies on a substrate, conformally forming a hard mask layer, forming a first photoresist covering a predetermined region on the hard mask layer wherein the predetermined region encompasses at least two core bodies, performing a first etch process to remove a portion of the hard mask layer outside the predetermined region and expose a number of core bodies, removing the exposed core bodies, forming a second photoresist at least encompassing all the recesses in the predetermined region, and performing a second etch process to pattern the target layer.
    Type: Grant
    Filed: May 19, 2014
    Date of Patent: January 26, 2016
    Assignee: Powerchip Technology Corporation
    Inventors: Zih-Song Wang, Chia-Ming Wu
  • Patent number: 9236309
    Abstract: Methods of fabricating one or more semiconductor fin structures are provided which include: providing a substrate structure including a first semiconductor material; providing a fin stack(s) above the substrate structure, the fin stack(s) including at least one semiconductor layer, which includes a second semiconductor material; depositing a conformal protective film over the fin stack(s) and the substrate structure; and etching the substrate structure using, at least in part, the fin stack(s) as a mask to facilitate defining the one or more semiconductor fin structures. The conformal protective film protects sidewalls of the at least one semiconductor layer of the fin stack(s) from etching during etching of the substrate structure. As one example, the first semiconductor material may be or include silicon, the second semiconductor material may be or include silicon germanium, and the conformal protective film may be, in one example, silicon nitride.
    Type: Grant
    Filed: April 15, 2015
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Chanro Park, Steven Bentley
  • Patent number: 9236269
    Abstract: Approaches for providing a fin field effect transistor device (FinFET) with a planar block area to enable variable fin pitch and width are disclosed. Specifically, approaches are provided for forming a plurality of fins patterned from a substrate, the plurality of fins comprising: a first set of fins having a variable pitch and a variable width; and a second set of fins having a variable pitch and a uniform width, wherein the first set of fins is adjacent the second set of fins. In one approach, the first set of fins is patterned from the planar block area, which is formed over the substrate, and the second set of fins is formed using a sidewall image transfer (SIT) process.
    Type: Grant
    Filed: April 23, 2014
    Date of Patent: January 12, 2016
    Assignee: GlobalFoundries Inc.
    Inventors: Eric S. Kozarsky, Shiv Kumar Mishra
  • Patent number: 9224616
    Abstract: A method of etching an etching target layer containing polycrystalline silicon includes preparing a target object including the etching target layer and a mask formed on the etching target layer; and etching the etching target layer with the mask. Further, the mask includes a first mask portion formed of polycrystalline silicon and a second mask portion interposed between the first mask portion and the etching target layer and formed of silicon oxide. Furthermore, in the etching of the etching target layer, a first gas for etching the etching target layer, a second gas for removing a deposit adhering to the mask, and a third gas for protecting the first mask portion are supplied into a processing vessel in which the target object is accommodated, and plasma of these gases is generated within the processing vessel.
    Type: Grant
    Filed: May 27, 2013
    Date of Patent: December 29, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masafumi Urakawa
  • Patent number: 9219007
    Abstract: A method including forming a penta-layer hardmask above a substrate, the penta-layer hardmask comprising a first hardmask layer above a second hardmask layer; forming a trench pattern in the first hardmask layer; transferring a first via bar pattern from a first photo-resist layer above the penta-layer hardmask into the second hardmask layer resulting in a first via pattern, the first via pattern in the second hardmask layer overlapping the trench pattern and being self-aligned on two sides by the trench pattern in the first hardmask layer; and transferring the first via pattern from the second hardmask layer into the substrate resulting in a self-aligned via opening, the self-aligned via opening being self-aligned on all sides by the first via pattern in the second hardmask layer.
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: December 22, 2015
    Assignees: International Business Machines Corporation, Global Foundries Inc.
    Inventors: Hsueh-Chung Chen, Yongan Xu, Yunpeng Yin, Ailian Zhao
  • Patent number: 9209076
    Abstract: A method includes performing a double patterning process to form a first mandrel, a second mandrel, and a third mandrel, with the third mandrel being between the first mandrel and the second mandrel, and etching the third mandrel to cut the third mandrel into a fourth mandrel and a fifth mandrel, with an opening separating the fourth mandrel from the fifth mandrel. A spacer layer is formed on sidewalls of the first, the second, the fourth, and the fifth mandrels, wherein the opening is fully filled by the spacer layer. Horizontal portions of the spacer layer are removed, with vertical portions of the spacer layer remaining un-removed. A target layer is etched using the first, the second, the fourth, and the fifth mandrels and the vertical portions of the spacer layer as an etching mask, with trenches formed in the target layer. The trenches are filled with a filling material.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: December 8, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Chieh Yao, Chung-Ju Lee, Yung-Hsu Wu, Tien-I Bao, Shau-Lin Shue
  • Patent number: 9202697
    Abstract: A method includes forming a gate structure by growing an interfacial layer on a substrate, depositing a High K layer on the interfacial layer, depositing a TiN Cap on the High K layer and forming a thin barrier layer on the TiN Cap. The gate structure is annealed.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: December 1, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Tien-Ying Luo, Feng Zhou, Yan Ping Shen, Haiting Wang, Haoran Shi, Wei Hua Tong, Seung Kim, Yong Meng Lee
  • Patent number: 9202710
    Abstract: A method includes depositing a material layer over a semiconductor substrate and using a first mask in a first exposure/patterning process to pattern the material layer thereby forming a plurality of first and second features. The first features include patterns for the semiconductor device and the second features include printing assist features. The method includes using a second mask in a second exposure/patterning process to effectively remove the second features from the material layer and to define at least one separating structure between two first features.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: December 1, 2015
    Assignee: Infineon Technologies AG
    Inventor: Henning Haffner
  • Patent number: 9171735
    Abstract: Methods are provided for fabricating semiconductor integrated circuits including isolated trench features. In one embodiment, a method includes providing a semiconductor substrate with an overlying process layer. A trench pattern to be etched into the process layer is determined and that trench pattern is decomposed into first and second patterns, the second pattern including an isolated trench. First and second lithographic masks are formed to implement the first and second patterns, the second mask implementing the second pattern, the isolated trench, and a plurality of density balancer patterns symmetrically positioned with respect to the isolated trench. A first resist layer is patterned with the first lithographic mask and the process layer is etched with the first resist layer. A second resist layer is patterned with the second lithographic mask and the process layer is etched with the second resist layer to implement the required trench pattern in the process layer.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: October 27, 2015
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Sohan Mehta, Norman Chen, Yuyang Sun, Matthew Herrick, Shyam Pal, Jeong Soo Kim
  • Patent number: 9153458
    Abstract: A method of forming a pattern on a substrate includes forming a repeating pattern of four first lines elevationally over an underlying substrate. A repeating pattern of four second lines is formed elevationally over and crossing the repeating pattern of four first lines. First alternating of the four second lines are removed from being received over the first lines. After the first alternating of the four second lines have been removed, elevationally exposed portions of alternating of the four first lines are removed to the underlying substrate using a remaining second alternating of the four second lines as a mask. Additional embodiments are disclosed and contemplated.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: October 6, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Scott L. Light, Anton deVilliers
  • Patent number: 9136374
    Abstract: A method of fabricating a P-type surface-channel laterally diffused metal oxide semiconductor device includes forming a gate structure with polysilicon and metal silicide, and the processes of channel implantation, long-time high-temperature drive-in, formation of a heavily doped N-type polysilicon sinker and boron doping of a polysilicon gate, are performed in this order, thereby ensuring the gate not to be doped with boron during its formation. The high-temperature drive-in process is allowed to be carried out to form a channel with a desired width, and a short channel effect which may cause penetration or electric leakage of the resulting device is prevented. As the polysilicon gate is not processed by any high-temperature drive-in process after it is doped with boron, the penetration of boron through a gate oxide layer and the diffusion of N-type impurity contained in the heavily doped polysilicon sinker into the channel or other regions are prevented.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: September 15, 2015
    Assignee: SHANGHAI HUA HONG NEC ELECTRONICS CO., LTD.
    Inventors: Zhengliang Zhou, Han Yu, Biao Ma
  • Patent number: 9136162
    Abstract: A method includes forming a mandrel layer over a target layer, and etching the mandrel layer to form mandrels. The mandrels have top widths greater than respective bottom widths, and the mandrels define a first opening in the mandrel layer. The first opening has an I-shape and includes two parallel portions and a connecting portion interconnecting the two parallel portions. Spacers are formed on sidewalls of the first opening. The spacers fill the connecting portion, wherein a center portion of each of the two parallel portions is unfilled by the spacers. Portions of the first opening that are unfilled by the spacers are extended into the target layer.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: September 15, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Min Huang, Chung-Ju Lee, Yung-Hsu Wu
  • Patent number: 9136134
    Abstract: Methods of fabricating semiconductor devices include forming a metal silicide in a portion of a crystalline silicon layer, and etching the metal silicide using an etchant selective to the metal silicide relative to the crystalline silicon to provide a thin crystalline silicon layer. Silicon-on-insulator (SOI) substrates may be formed by providing a layer of crystalline silicon over a base substrate with a dielectric material between the layer of crystalline silicon and the base substrate, and thinning the layer of crystalline silicon by forming a metal silicide layer in a portion of the crystalline silicon, and then etching the metal silicide layer using an etchant selective to the metal silicide layer relative to the crystalline silicon.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: September 15, 2015
    Assignee: SOITEC
    Inventors: Mariam Sadaka, Ionut Radu
  • Patent number: 9129812
    Abstract: In directed self-assembly (DSA) of a block copolymer (BCP), a patterned sublayer on a substrate serves as a guiding chemical prepattern on which BCPs form more uniform and/or denser patterns. A layer of a blend of a BCP and functional homopolymers, referred to as inks, is deposited on the patterned sublayer and annealed to change the initial chemical prepattern to a 1:1-like chemical pattern that is more favorable to DSA. After annealing, the inks selectively distribute into blocks by DSA, and part of the inks graft on the substrate underneath the blocks. The BCP blend layer is then rinsed away, leaving the grafted inks. A second layer of BCP is then deposited and annealed as a second DSA step to form alternating lines of the BCP components. One of the BCP components is removed, leaving lines of the other BCP component as a mask for patterning the substrate.
    Type: Grant
    Filed: April 6, 2015
    Date of Patent: September 8, 2015
    Assignee: HGST Netherlands B.V.
    Inventors: He Gao, Ricardo Ruiz, Lei Wan
  • Patent number: 9129905
    Abstract: Approaches for providing a planar metrology pad adjacent a set of fins of a fin field effect transistor (FinFET) device are disclosed. A previously deposited amorphous carbon layer can be removed from over a mandrel that has been previously formed on a subset of a substrate, such as using a photoresist. A pad hardmask can be formed over the mandrel on the subset of the substrate. This formation results in the subset of the substrate having the pad hardmask covering the mandrel thereon and the remainder of the substrate having the amorphous carbon layer covering the mandrel thereon. This amorphous carbon layer can be removed from over the mandrel on the remainder of the substrate, allowing a set of fins to be formed therein while the amorphous carbon layer keeps the set of fins from being formed in the portion of the substrate that it covers.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: September 8, 2015
    Assignee: GlobalFoundries Inc.
    Inventors: Xiang Hu, Lokesh Subramany, Alok Vaid, Sipeng Gu, Akshey Sehgal
  • Patent number: 9076959
    Abstract: A method of manufacturing a non-volatile memory device comprises: forming a first electrode layer; a variable resistance material layer, a second electrode layer; and a hard mask layer, forming a first resist mask extending in a first direction on the hard mask layer; forming a first hard mask extending in the first direction by etching the hard mask layer using the first resist mask; forming a second resist mask extending in a second direction, on the first hard mask such that the width of the second resist mask is greater than the width of the first resist mask; forming a second hard mask by etching the first hard mask using the second resist mask; and forming a variable resistance element by patterning, by etching the second electrode layer, the variable resistance material layer and the first electrode layer using the second hard mask.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: July 7, 2015
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Hideaki Murase, Satoru Ito, Yoshio Kawashima, Takumi Mikawa
  • Publication number: 20150145150
    Abstract: According to one embodiment, a method is disclosed for manufacturing a semiconductor device. The method can include forming a stacked layer in a memory cell region and a mark region, forming a first mask layer above the stacked layer, and forming a second mask layer above the first mask layer; forming the second mask layer into first mask pattern features and forming a first alignment mark pattern feature; forming second mask pattern features and then removing the first mask pattern features; opening part of the second mask pattern features and forming a third mask layer having an opening; removing part of the second mask pattern features; removing the third mask layer; forming a fourth mask layer; etching the first mask layer; removing the fourth mask layer and then removing the second mask pattern features; and etching the stacked layer.
    Type: Application
    Filed: March 5, 2014
    Publication date: May 28, 2015
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Kotaro NODA
  • Publication number: 20150147887
    Abstract: The present disclosure provides a method for forming patterns in a semiconductor device. In accordance with some embodiments, the method includes providing a substrate and a patterning-target layer over the substrate; forming one or more mandrel patterns over the patterning-target layer; forming an opening in a resist layer by removing a first mandrel pattern and removing a portion of the resist layer that covers the first mandrel pattern; forming spacers adjacent to sidewalls of a second mandrel pattern; removing the second mandrel pattern to expose the spacers; forming a patch pattern over the spacers and aligned with the opening; etching the patterning-target layer using the patch pattern and the spacers as mask elements to form final patterns; and removing the patch pattern and the spacers to expose the final patterns.
    Type: Application
    Filed: November 26, 2013
    Publication date: May 28, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ru-Gun Liu, Chung-Te Lin, Ming-Feng Shieh, Tsai-Sheng Gau, Shih-Ming Chang
  • Publication number: 20150140825
    Abstract: A chemical planarization process described herein can be used for planarizing a substrate without using mechanical abrasion. A developable planarization material can be applied to a substrate having a non-planar topography, such that a planar surface results. The resulting planarization layer can cover existing structures on the substrate. A top portion of the planarization layer can be solubilized using a solubility-changing agent, and then the soluble portion can be removed thereby slimming a height of the planarization material to a target value, which can be a top surface of a tallest underlying structure. With the substrate planarized, additional patterning operations can be executed.
    Type: Application
    Filed: November 4, 2014
    Publication date: May 21, 2015
    Inventor: Anton J. deVilliers
  • Publication number: 20150140826
    Abstract: A method of forming a fine pattern comprises depositing a modifying layer on a substrate. A photoresist layer is deposited on the modifying layer, the photoresist layer having a first pattern. The modifying layer is etched according to the first pattern of the photoresist layer. A treatment is performed to the etched modifying layer to form a second pattern, the second pattern having a smaller line width roughness (LWR) and/or line edge roughness (LER) than the first pattern. The second pattern is then etched into the substrate.
    Type: Application
    Filed: January 28, 2015
    Publication date: May 21, 2015
    Inventors: Chih Wei Lu, Chung-Ju Lee, Tien-I Bao
  • Patent number: 9034767
    Abstract: Mask pattern formation is facilitated by: providing a mask structure including at least one sacrificial spacing structure disposed above a substrate structure; disposing a spacer layer conformally over the mask structure; selectively removing the spacer layer, leaving, at least in part, sidewall spacers along sidewalls of the at least one sacrificial spacing structure, and providing at least one additional sacrificial spacer over the substrate structure, one additional sacrificial spacer of the at least one additional sacrificial spacer being disposed in set spaced relation to the at least one sacrificial spacing structure; and removing the at least one sacrificial spacing structure, leaving the sidewall spacers and the at least one additional sacrificial spacer over the substrate structure as part of a mask pattern.
    Type: Grant
    Filed: November 11, 2013
    Date of Patent: May 19, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xiang Hu, Dae-Han Choi, Dae Geun Yang, Taejoon Han, Andy Wei
  • Publication number: 20150131382
    Abstract: A semiconductor storage device including a memory cell array including a memory cell and a circuit element including first wirings and a selection element, the first wirings having a wiring width smaller than a resolution limit of an exposure apparatus. The first wirings extend in a first direction and are aligned in a second direction crossing with the first direction. A second wiring, being one of the first wirings, is cut by at least one cut region. The first wiring adjacent to the second wiring in the second direction extends continuously in the first direction in a portion adjacent to the cut region in the second direction.
    Type: Application
    Filed: February 25, 2014
    Publication date: May 14, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Yoshiaki HIMENO
  • Publication number: 20150132965
    Abstract: Methods for using high-speed EUV resists including resists having additives that may be detrimental to etch chambers. Methods include using reversal materials and/or reversal techniques, as well as diffusion-limited etch-back and slimming for pattern creation and transfer. A substrate with high-speed EUV resist is lithographically patterned and developed into a patterned resist mask. An image reversal material is then over-coated on the patterned resist mask such that the image reversal material fills and covers the patterned resist mask. An upper portion of the image reversal material is removed such that top surfaces of the patterned resist mask are exposed. The patterned resist mask is removed such that the image reversal material remains resulting in a patterned image reversal material mask. Residual resist material is removed via a slimming process using an acid diffusion and subsequent development.
    Type: Application
    Filed: November 4, 2014
    Publication date: May 14, 2015
    Inventors: Anton J. deVilliers, Kaushik Kumar