Plural Coating Steps Patents (Class 438/703)
  • Publication number: 20140273480
    Abstract: The method for producing a substrate provided with protection of its edges has a first step which is providing a substrate having a semiconductor material base. The substrate has opposite first and second main surfaces connected by a lateral surface. A first layer made from first protective material is then formed so as to coat the substrate. The first protective material is then etched on the lateral surface leaving a pattern of first protective material at least partially covering each of the first and second surfaces, and a second protective layer made from second protective material is then formed on the lateral surface devoid of the first protective material. After formation of the second protective layer, the first protective material is eliminated from the substrate.
    Type: Application
    Filed: March 18, 2014
    Publication date: September 18, 2014
    Inventors: Bernard PREVITALI, Christian ARVET
  • Patent number: 8835328
    Abstract: Methods for fabricating integrated circuits are provided herein. In an embodiment, a method for fabricating an integrated circuit includes providing a mandrel layer overlying a semiconductor substrate and patterning the mandrel layer into mandrel structures. The method further includes forming a protective layer between the mandrel structures. Spacers are formed around each of the mandrel structures and overlying the protective layer to define exposed regions of the protective layer and covered regions of the protective layer. The exposed regions of the protective layer are etched using the spacers and the mandrel structures as a mask. The spacers are removed from the covered regions of the protective layer. The covered regions of the protective layer form mask segments for etching the semiconductor substrate. The method removes the mandrel structures and etches the semiconductor substrate exposed between mask segments to form semiconductor fin structures.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: September 16, 2014
    Assignee: Globalfoundries, Inc.
    Inventors: Wontae Hwang, Il Goo Kim, Dae-Han Choi, Sang Cheol Han
  • Patent number: 8835321
    Abstract: A method of forming fine patterns in a semiconductor device includes forming narrow-width patterns in a first region and wide-width patterns in a second region, where the widths of the narrow-width patterns are smaller than the resolution limitations in a photolithography process used to make the semiconductor device. The first and second regions may comprise cell array regions, with memory cells in the first region and peripheral circuits for operating the memory cells in the second region. The semiconductor device can be, for example, a NAND FLASH memory device. The semiconductor memory device can be variously classified according to the type of memory cells to be integrated in the cell array region, e.g., a DRAM, an SRAM, a PRAM, a RRAM, an MRAM, and a FRAM. In other embodiments, a MEMS device, an optoelectronic device, or a processor, such as CPU or DSP, may be provided on the semiconductor substrate.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: September 16, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyoun-Jee Ha
  • Publication number: 20140256142
    Abstract: A method for etching an etch layer is provided. A glue layer having metallizable terminations is formed over the etch layer. The glue layer is exposed to a patterned light, wherein the metallizable terminations of the glue layer illuminated by the patterned light become unmetallizable. A metal deposition layer is formed on the glue layer, wherein the metal deposition layer only deposits on areas of the glue layer with metallizable terminations of the glue layer. The etch layer is etched through portions of the glue layer without the metal deposition layer.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Applicant: Lam Research Corporation
    Inventor: Yezdi N. DORDI
  • Publication number: 20140256141
    Abstract: A method of fabricating an integrated circuit includes the steps of providing a semiconductor substrate comprising a semiconductor device disposed thereon and depositing a first silicon nitride layer over the semiconductor substrate and over the semiconductor device using a first deposition process. The first deposition process is a plasma-enhanced chemical vapor deposition (PECVD) process that operates over a plurality of cycles, each cycle having a first time interval and a second time interval. The PECVD process includes the steps of generating a plasma with a power source during the first time interval, the plasma comprising reactive ionic and radical species of a silicon-providing gas and a nitrogen-providing gas, and discontinuing generating the plasma during the second time interval immediately subsequent to the first time interval. The method further includes depositing a second silicon nitride layer over the first silicon nitride layer after the plurality of cycles.
    Type: Application
    Filed: March 6, 2013
    Publication date: September 11, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventors: Huy Cao, Huang Liu, Hoong Shing Wong, Songkram Srivathanakul, Sandeep Gaan
  • Publication number: 20140256146
    Abstract: The present disclosure provides a method for forming resist patterns.
    Type: Application
    Filed: July 18, 2013
    Publication date: September 11, 2014
    Inventors: Shang-Chieh Chien, Shu-Hao Chang, Jui-Ching Wu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20140256144
    Abstract: A mask set and method for forming FinFET semiconductor devices provides a complementary set of fin-cut masks that are used in DPT (double patterning technology) to remove fins from non-active areas of a semiconductor device, after the fins are formed. Adjacent fins, or adjacent groups of fins, are removed using pattern features from different ones of the multiple fin-cut masks.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 11, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tzu-Chun LO, Min-Hung CHENG, Hsiao-Wei SU, Jeng-Shiun HO, Ching-Che TSAI, Cheng-Cheng KUO, Hua-Tai LIN, Chia-Chu LIU, Kuei-Shun CHEN
  • Publication number: 20140256143
    Abstract: The present disclosure provides one embodiment of a method of fabricating an integrated circuit. The method includes forming a patterned hard mask on a substrate; performing a fabrication process to the substrate through openings of the patterned hard mask; performing a first etch process to remove the patterned hard mask; and applying an NHD solution to the substrate, wherein the NHD solution includes ammonium hydroxide, hydrogen peroxide and deionized water with ratios tuned such that the NHD solution is weak basic.
    Type: Application
    Filed: March 10, 2013
    Publication date: September 11, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20140252557
    Abstract: Semiconductor device structures and methods for forming a semiconductor device are provided. In embodiments, one or more fins are provided, each of the one or more fins having a lower portion and an upper portion disposed on the lower portion. The lower portion is embedded in a first insulating material. The shape of the upper portion is at least one of a substantially triangular shape and a substantially rounded shape and a substantially trapezoidal shape. Furthermore, a layer of a second insulating material different from the first insulating material is formed on the upper portion.
    Type: Application
    Filed: March 7, 2013
    Publication date: September 11, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stefan Flachowsky, Jan Hoentschel, Ralf Richter, Peter Javorka
  • Publication number: 20140252565
    Abstract: A germanium-containing semiconductor surface is prepared for formation of a dielectric overlayer (e.g., a thin layer of high-k gate dielectric) by (1) removal of native oxide, for example by wet cleaning, (2) additional cleaning with hydrogen species, (3) in-situ formation of a controlled monolayer of GeO2, and (4) in-situ deposition of the dielectric overlayer to prevent uncontrolled regrowth of native oxide. The monolayer of GeO2 promotes uniform nucleation of the dielectric overlayer, but it too thin to appreciably impact the effective oxide thickness of the dielectric overlayer.
    Type: Application
    Filed: March 5, 2014
    Publication date: September 11, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Frank Greer, Edwin Adhiprakasha, Chi-I Lang, Ratsamee Limdulpaiboon, Sandip Niyogi, Kurt Pang, J. Watanabe
  • Publication number: 20140256140
    Abstract: A method of forming a pattern on a substrate includes forming spaced, upwardly-open, cylinder-like structures projecting longitudinally outward of a base. Photoresist is formed elevationally over and laterally inward of the cylinder-like structures. The photoresist is patterned to form interstitial spaces into the photoresist laterally outward of the cylinder-like structures. The interstitial spaces are individually surrounded by at least three of the cylinder-like structures. The patterned photoresist is used as an etch mask while etching interstitial openings into the base and while the photoresist is laterally inward of the cylinder-like structures. Other aspects are disclosed.
    Type: Application
    Filed: March 6, 2013
    Publication date: September 11, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Vishal Sipani, Anton J. deVillers, Ranjan Khurana
  • Publication number: 20140256145
    Abstract: A method for defining a template for directed self-assembly (DSA) materials includes forming an etch stop layer on a neutral material, forming a mask layer on the etch stop layer and forming an anti-reflection coating (ARC) on the mask layer. A resist layer is patterned on the ARC using optical lithography to form a template pattern. The ARC and the mask layer are reactive ion etched down to the etch stop layer in accordance with the template pattern to form a template structure. The ARC is removed from the mask layer and the template structure is trimmed to reduce a width of the template structure. A wet etch is performed to remove the etch stop layer to permit the neutral material to form an undamaged DSA template for DSA materials.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 11, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: JASSEM A. ABDALLAH, MATTHEW E. COLBURN, STEVEN J. HOLMES, DAIJI KAWAMURA, CHI-CHUN LIU, MUTHUMANICKAM SANKARAPANDIAN, YUNPENG YIN
  • Patent number: 8828868
    Abstract: A method for forming a hard mask in semiconductor device fabrication comprises: forming first and second patterned material layers on a third material layer, the second patterned material layer only covering the top of predetermined regions of the first patterned material layer; changing a property of exposed top and side portions of the first patterned material layer using the second patterned material layer as a mask, forming property-changed roofs at the exposed top portions of the first patterned material layer and forming property-changed sidewalls with a predetermined width at the exposed side portions of the first patterned material layer; removing the second patterned material layer and portions of the first patterned material layer with exposed tops and an unchanged property located between the property-changed sidewalls, to form the hard mask.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: September 9, 2014
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Zhongshan Hong
  • Patent number: 8828879
    Abstract: There is provided a lithographic resist underlayer film-forming composition for forming a resist underlayer film which can be used as a hard mask. A lithographic resist underlayer film-forming composition including a silane compound having sulfonamide group, wherein the silane compound having sulfonamide group is a hydrolyzable organosilane having a sulfonamide group in the molecule, a hydrolyzate thereof, or a hydrolytic condensation product thereof. The composition including a silane compound having sulfonamide group and a silane compound lacking a sulfonamide group, wherein the silane compound having sulfonamide group is present within the silane compounds overall in a proportion of less than 1 mol %, for example 0.1 to 0.95 mol %.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: September 9, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yuta Kanno, Makoto Nakajima, Wataru Shibayama
  • Patent number: 8822328
    Abstract: A method for patterning a semiconductor structure is provided. The method comprises following steps. A first mask defining a first pattern in a first region and a second pattern in a second region adjacent to the first region is provided. The first pattern defined by the first mask is transferred to a first film structure in the first region, and the second pattern defined by the first mask is transferred to the first film structure in the second region. A second film structure is formed on the first film structure. A second mask defining a third pattern in the first region is provided. At least 50% of a part of the first region occupied by the first pattern defined by the first mask is identical with a part of the first region occupied by the third pattern defined by the second mask.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: September 2, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Wei Huang, Ming-Jui Chen, Ting-Cheng Tseng, Ping-I Hsieh
  • Patent number: 8822344
    Abstract: A method for etching an etch layer is provided. A glue layer having metallizable terminations is formed over the etch layer. The glue layer is exposed to a patterned light, wherein the metallizable terminations of the glue layer illuminated by the patterned light become unmetallizable. A metal deposition layer is formed on the glue layer, wherein the metal deposition layer only deposits on areas of the glue layer with metallizable terminations of the glue layer. The etch layer is etched through portions of the glue layer without the metal deposition layer.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: September 2, 2014
    Assignee: Lam Research Corporation
    Inventor: Yezdi N. Dordi
  • Patent number: 8822343
    Abstract: An overlay mark suitable for use in manufacturing nonplanar circuit devices and a method for forming the overlay mark are disclosed. An exemplary embodiment includes receiving a substrate having an active device region and an overlay region. One or more dielectric layers and a hard mask are formed on the substrate. The hard mask is patterned to form a hard mask layer feature configured to define an overlay mark fin. Spacers are formed on the patterned hard mask layer. The spacers further define the overlay mark fin and an active device fin. The overlay mark fin is cut to form a fin line-end used to define a reference location for overlay metrology. The dielectric layers and the substrate are etched to further define the overlay mark fin.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Wen Hsieh, Chi-Kang Chang, Chia-Chu Liu, Meng-Wei Chen, Kuei-Shun Chen
  • Publication number: 20140242800
    Abstract: A method of manufacturing a layer pattern of a semiconductor device, the method including forming an anti-reflective coating (ARC) layer on an etching object layer such that the ARC layer includes a polymer having an imide group; forming a photoresist pattern on the ARC layer; wet etching portions of the ARC layer exposed by the photoresist pattern to form an ARC layer pattern; and etching the etching object layer using the photoresist pattern as an etch mask to form the layer pattern.
    Type: Application
    Filed: February 26, 2014
    Publication date: August 28, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD
    Inventors: Tae-Hwan OH, Yu-Ra KIM, Tae-Sun KIM, Kwang-Sub YOON
  • Publication number: 20140242789
    Abstract: A semiconductor device manufacturing method includes forming a dielectric film on a semiconductor substrate; performing a heat treatment on the dielectric film; forming an electrode on a first region of the dielectric film; irradiating an ionized gas cluster to a second region of the dielectric film where the electrode is not formed; and removing the second region of the dielectric film where the ionized gas cluster is irradiated by a wet etching after the irradiating of the ionized gas cluster.
    Type: Application
    Filed: April 3, 2014
    Publication date: August 28, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Yasushi Akasaka, Koji Akiyama, Hirokazu Higashijima
  • Publication number: 20140242794
    Abstract: Integrated circuit methods are described. The methods include providing a photomask that includes two main features for two via openings and further includes an optical proximity correction (OPC) feature linking the two main features; forming a hard mask layer on a substrate, the hard mask layer including two trench openings; forming a patterned resist layer over the hard mask layer using the photomask, wherein the patterned resist layer includes a peanut-shaped opening with two end portion aligned with the two trench openings of the hard mask layer, respectively; and performing a first etch process to the substrate using the hard mask layer and the patterned resist layer as a combined etch mask.
    Type: Application
    Filed: May 8, 2014
    Publication date: August 28, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Yi Lin, Jiing-Feng Yang, Tzu-Hao Huang, Chih-Hao Hsieh, Dian-Hau Chen, Hsiang-Lin Chen, Ko-Bin Kao, Yung-Shih Cheng
  • Patent number: 8815741
    Abstract: A method includes providing a semiconductor structure including a substrate and a transistor element. A layer of a spacer material is deposited over the substrate and the gate structure, wherein the deposited layer of spacer material has an intrinsic stress. Ions are implanted into the layer of spacer material. After the deposition of the layer of spacer material and the implantation of ions into the layer of spacer material, a sidewall spacer is formed at sidewalls of the gate structure from the layer of spacer material.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: August 26, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ralf Richter, Jan Hoentschel, Sven Beyer, Peter Javorka
  • Patent number: 8815742
    Abstract: One method disclosed herein includes forming a conformal liner layer in a plurality of trenches that define a fin, forming a layer of insulating material above the liner layer, exposing portions of the liner layer, removing portions of the liner layer so as to result in a generally U-shaped liner positioned at a bottom of each of the trenches, performing at least one third etching process on the layer of insulating material, wherein at least a portion of the layer of insulating material is positioned within a cavity of the U-shaped liner layer, and forming a gate structure around the fin. A FinFET device disclosed herein includes a plurality of trenches that define a fin, a local isolation that includes a generally U-shaped liner that defines, in part, a cavity and a layer of insulating material positioned within the cavity, and a gate structure positioned around the fin.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: August 26, 2014
    Assignees: GLOBALFOUNDRIES Inc., International Business Machines Coporation
    Inventors: Xiuyu Cai, Ruilong Xie, Kangguo Cheng, Ali Khakifirooz
  • Publication number: 20140232018
    Abstract: A resist underlayer film forming composition for EUV lithography, comprising: as a silane, a hydrolyzable silane, a hydrolyzate of the hydrolyzable silane, a hydrolysis condensate of the hydrolyzable silane, or a mixture of any of the hydrolyzable silane, the hydrolyzate, and the hydrolysis condensate, wherein the hydrolyzable silane includes a combination of tetramethoxysilane, an alkyltrimethoxysilane, and an aryltrialkoxysilane, and the aryltrialkoxysilane is represented by formula (1): (R2)n2—R1—(CH2)n1—Si(X)3??Formula (1) In formula (1), R1 is an aromatic ring consisting of a benzene ring or a naphthalene ring or a ring including an isocyanuric acid structure, R2 is a substituent replacing a hydrogen atom on the aromatic ring and is a halogen atom or a C1-10 alkoxy group, and X is a C1-10 alkoxy group, a C2-10 acyloxy group, or a halogen group.
    Type: Application
    Filed: October 2, 2012
    Publication date: August 21, 2014
    Inventors: Shuhei Shigaki, Hiroaki Yaguchi, Rikimaru Sakamoto, Bang-ching Ho
  • Publication number: 20140231965
    Abstract: Provided are a method for forming a microfine structure and a microfine structure forming body prepared by the method. The method allows a remaining film part to be formed thinner and more uniform on a substrate than the conventional techniques. The method comprises the steps of: forming an oxide layer on a metallic thin film; a photocurable resin layer via first and second adhesive layers over the oxide layer; and transferring a microfine structure formed on a mold by pressing the mold onto the photocurable resin layer. The first adhesive layer includes a compound having at least two hydrolysable functional groups, and the second adhesive layer includes a compound having at least a hydrolysable functional group and a reactive functional group.
    Type: Application
    Filed: November 20, 2013
    Publication date: August 21, 2014
    Applicant: Hitachi Media Electronics Co., Ltd.
    Inventors: Ryuta WASHIYA, Masahiko OGINO, Shiro NAGASHIMA, Akio YABE, Masaki SUGITA, Akihiro MIYAUCHI
  • Publication number: 20140235796
    Abstract: The invention provides a composition for forming a resist underlayer film including: as a component (A), a silicon-containing compound obtained by hydrolysis and/or condensation of one or more kinds of silicon compounds represented by the following general formula (A-1). There can be provided a composition for forming a resist underlayer film having etching selectivity relative to a conventional organic film and a silicon-containing film and favorable pattern adhesiveness relative to fine pattern even in a complicated patterning process.
    Type: Application
    Filed: January 28, 2014
    Publication date: August 21, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Jun HATAKEYAMA
  • Publication number: 20140231913
    Abstract: Improved sidewall image transfer (SIT) techniques are provided. In one aspect, a SIT method includes the following steps. An oxide layer is formed on a substrate. A transfer layer is formed on a side of the oxide layer opposite the substrate. A mandrel layer is formed on a side of the transfer layer opposite the oxide layer. The mandrel layer is patterned to form at least one mandrel. Sidewall spacers are formed on opposite sides of the at least one mandrel. The at least one mandrel is removed, wherein the transfer layer covers and protects the substrate during removal of the at least one mandrel. The transfer layer is etched using the sidewall spacers as a hardmask to form a patterned transfer layer. The oxide layer and the sidewall spacers are removed from the substrate. The substrate is etched using the patterned transfer layer as a hardmask.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 21, 2014
    Applicant: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Publication number: 20140235060
    Abstract: There is provided a resist underlayer film used in lithography process that has a high n value and a low k value, and can effectively reduce reflection of light having a wavelength of 193 nm from the substrate in a three-layer process in which the resist underlayer film is used in combination with a silicon-containing intermediate layer. A resist underlayer film-forming composition used in lithography process including: a polymer containing a unit structure including a product obtained by reaction of a condensed heterocyclic compound and a bicyclo ring compound. The condensed heterocyclic compound is a carbazole compound or a substituted carbazole compound. The bicyclo ring compound is dicyclopentadiene, substituted dicyclopentadiene, tetracyclo[4.4.0.12,5.17,10]dodeca-3,8-diene, or substituted tetracyclo[4.4.0.12,5.17,10]dodeca-3,8-diene.
    Type: Application
    Filed: July 5, 2012
    Publication date: August 21, 2014
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Tetsuya Shinjo, Yasunobu Someya, Keisuke Hashimoto, Ryo Karasawa
  • Publication number: 20140227879
    Abstract: Methods for fabricating integrated circuits are provided herein. In an embodiment, a method for fabricating an integrated circuit includes providing a mandrel layer overlying a semiconductor substrate and patterning the mandrel layer into mandrel structures. The method further includes forming a protective layer between the mandrel structures. Spacers are formed around each of the mandrel structures and overlying the protective layer to define exposed regions of the protective layer and covered regions of the protective layer. The exposed regions of the protective layer are etched using the spacers and the mandrel structures as a mask. The spacers are removed from the covered regions of the protective layer. The covered regions of the protective layer form mask segments for etching the semiconductor substrate. The method removes the mandrel structures and etches the semiconductor substrate exposed between mask segments to form semiconductor fin structures.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 14, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventors: Wontae Hwang, IL Goo Kim, DAE-HAN Choi, Sang Cheol Han
  • Publication number: 20140227880
    Abstract: According to various embodiments of the disclosure, an apparatus and method for enhanced deposition and etch techniques is described, including a pedestal, the pedestal having at least two electrodes embedded in the pedestal, a showerhead above the pedestal, a plasma gas source connected to the showerhead, wherein the showerhead is configured to deliver plasma gas to a processing region between the showerhead and the substrate and a power source operably connected to the showerhead and the at least two electrodes with plasma being substantially contained in an area which corresponds with one electrode of the at least two electrodes.
    Type: Application
    Filed: April 15, 2014
    Publication date: August 14, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang
  • Patent number: 8802572
    Abstract: Methods of patterning low-k dielectric films are described. In an example, a method of patterning a low-k dielectric film involves forming and patterning a mask layer above a low-k dielectric layer. The low-k dielectric layer is disposed above a substrate. The method also involves modifying exposed portions of the low-k dielectric layer with a plasma process. The method also involves, in the same operation, removing, with a remote plasma process, the modified portions of the low-k dielectric layer selective to the mask layer and unmodified portions of the low-k dielectric layer.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: August 12, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas D. Nemani, Jeremiah T. Pender, Qingjun Zhou, Dmitry Lubomirsky, Sergey G. Belostotskiy
  • Patent number: 8802551
    Abstract: A semiconductor device is fabricated by forming first holes arranged along a first direction on an etch-target layer, forming dielectric patterns in the first holes, conformally forming a barrier layer on the dielectric patterns, forming a sacrificial layer on the barrier layer to define a first void, partially removing the sacrificial layer to expose the first void, anisotropically etching the barrier layer to form second holes below the first void, and etching portions of the etch-target layer located below the first and second holes to form contact holes. The first void may be formed on a first gap region confined by at least three of the dielectric patterns disposed adjacent to each other, and the sacrificial layer may include a material having a low conformality.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: August 12, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: JungWoo Seo, JinSeo Choi, KyoungRyul Yoon
  • Patent number: 8803261
    Abstract: A method of fabricating a micro-electrical-mechanical system (MEMS) transducer comprises the steps of forming a membrane on a substrate, and forming a back-volume in the substrate. The step of forming a back-volume in the substrate comprises the steps of forming a first back-volume portion and a second back-volume portion, the first back-volume portion being separated from the second back-volume portion by a step in a sidewall of the back-volume. The cross-sectional area of the second back-volume portion can be made greater than the cross-sectional area of the membrane, thereby enabling the back-volume to be increased without being constrained by the cross-sectional area of the membrane. The back-volume may comprise a third back-volume portion. The third back-volume portion enables the effective diameter of the membrane to be formed more accurately.
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: August 12, 2014
    Assignee: Wolfson Microelectronics plc
    Inventors: Anthony Bernard Traynor, Richard Ian Laming, Tsjerk H. Hoekstra
  • Publication number: 20140220783
    Abstract: A pattern-forming method includes providing a resist underlayer film on a substrate using a resist underlayer film-forming composition. The resist underlayer film-forming composition includes a first polymer having a glass transition temperature of 0 to 180° C. A silicon-based oxide film is provided on a surface of the resist underlayer film. A resist pattern is provided on a surface of the silicon-based oxide film using a resist composition. The silicon-based oxide film and the resist underlayer film are sequentially dry-etched using the resist pattern as a mask. The substrate is dry-etched using the dry-etched resist underlayer film as a mask.
    Type: Application
    Filed: April 10, 2014
    Publication date: August 7, 2014
    Applicant: JSR CORPORATION
    Inventors: Kazuhiko KOUMURA, Shinya MINEGISHI, Takashi MORI, Kyoyu YASUDA, Yoshio TAKIMOTO, Shinya NAKAFUJI, Toru KIMURA
  • Publication number: 20140220773
    Abstract: In some embodiments, the present disclosure pertains to methods of preparing graphene nanoribbons from a graphene film associated with a meniscus, where the method comprises patterning the graphene film while the meniscus acts as a mask above a region of the graphene film, and where the patterning results in formation of graphene nanoribbons from the meniscus-masked region of the graphene film. Additional embodiments of the present disclosure pertain to methods of preparing wires from a film associated with a meniscus, where the method comprises patterning the film while the meniscus acts as a mask above a region of the film, and where the patterning results in formation of a wire from the meniscus-masked region of the film. Additional embodiments of the present disclosure pertain to chemical methods of preparing wires from water-reactive materials.
    Type: Application
    Filed: February 3, 2014
    Publication date: August 7, 2014
    Applicant: William Marsh Rice University
    Inventors: James M. Tour, Vera Abramova, Alexander Slesarev
  • Publication number: 20140220782
    Abstract: A double patterning method of forming a plurality of hole patterns having a small pitch using etch selectivities includes forming a patterning mask pattern defining a preliminary hole exposing an upper surface of a buffer mask layer, an inner spacer exposing the upper surface of the buffer mask layer on an inner wall of the preliminary hole, a buffer mask pattern having a first hole, and a core insulating pattern filling the preliminary hole and the first hole, an outer spacer to expose a first portion of the patterning mask pattern on the exposed portion of the outer side of the inner spacer, and an empty space exposing a first portion of the buffer mask pattern. A second portion of the patterning mask pattern and a second portion of the buffer mask pattern are exposed. A second hole is formed by removing the second portion of the buffer mask pattern.
    Type: Application
    Filed: October 1, 2013
    Publication date: August 7, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Jung-Woo SEO
  • Patent number: 8796150
    Abstract: A method and structure for transferring a lithographic pattern into a substrate includes forming a dielectric hardmask layer over a dielectric substrate. A metal hardmask layer is formed over the dielectric hardmask layer. A protective capping hardmask layer or capping film is formed over the metal hardmask layer, and a lithographic structure for pattern transfer is formed over the capping layer. A pattern is transferred into the dielectric substrate using the defined lithographic structure. The capping hardmask layer can be removed during subsequent processing.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Hakeem B. S. Akinmade-Yusuff, Samuel Sung Shik Choi, Edward R. Engbrecht, John A. Fitzsimmons
  • Patent number: 8796146
    Abstract: Method and apparatus for direct writing of passive structures having a tolerance of 5% or less in one or more physical, electrical, chemical, or optical properties. The present apparatus is capable of extended deposition times. The apparatus may be configured for unassisted operation and uses sensors and feedback loops to detect physical characteristics of the system to identify and maintain optimum process parameters.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: August 5, 2014
    Assignee: Optomec, Inc.
    Inventors: Michael J. Renn, Bruce H. King, Jason A. Paulsen
  • Patent number: 8796148
    Abstract: A method for producing a deep trench in a substrate includes a series of elementary etch cycles each etching a portion of the trench. Each elementary cycle includes deposition of a passivation layer on the sidewalls and the bottom of the trench portion etched during previous cycles; followed by pulsed plasma anisotropic ion etching of the trench portion etched during previous cycles, the etching; being implemented in an atmosphere comprising a passivating species; and including a first etch sequence followed by a second etch sequence of less power than the power of the first etch sequence. The first etch sequence etches the passivation layer deposited in the bottom of the portion so as to access the substrate and etches the free substrate at the bottom of the portion while leaving a passivation layer on sidewalls of the portion.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: August 5, 2014
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: François Leverd, Laurent Favennec, Arnaud Tournier
  • Publication number: 20140210004
    Abstract: A method provides an intermediate semiconductor device structure and includes providing a water having first dummy gate plugs and second dummy gate plugs embedded in a first layer having a non planar wafer surface topography due at least to a presence of the fist dummy gate plugs; depositing at least one second layer over the first layer, the at least one second layer comprising a hard mask material; and removing at least a portion of the second layer to form a substantially planar wafer surface topography over the first dummy gate plugs and the second dummy gate plugs prior to gate conductor deposition.
    Type: Application
    Filed: January 31, 2013
    Publication date: July 31, 2014
    Applicant: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Publication number: 20140213060
    Abstract: Methods of patterning low-k dielectric films are described. In an example, In an embodiment, a method of patterning a low-k dielectric film involves forming and patterning a metal nitride mask layer above a low-k dielectric layer. The low-k dielectric layer is disposed above a substrate. The method also involves passivating the metal nitride mask layer by treating with a plasma based on O2/N2/SixFy. The method also involves etching a portion of the low-k dielectric layer.
    Type: Application
    Filed: January 21, 2014
    Publication date: July 31, 2014
    Inventors: Chia-Ling Kao, Sean S. Kang, Srinivas D. Nemani
  • Patent number: 8790998
    Abstract: Example embodiments relate to a method of forming a core-shell structure. According to a method, a region in which the core-shell structure will be formed is defined on a substrate, and a core and a shell layer may be sequentially stacked in the defined region. A first shell layer may further be formed between the substrate and the core. When the core and the shell layer are sequentially stacked in the core-shell region, the method may further include forming a groove on the substrate, forming the first shell layer covering surfaces of the groove, forming the core in the groove of which surfaces are covered by the first shell layer, and forming a second shell layer covering the core.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: July 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-ha Hong, Kyoung-won Park, Jai-kwang Shin, Jong-seob Kim, Hyuk-soon Choi
  • Patent number: 8791020
    Abstract: A pattern-forming method includes forming a silicon-containing film on a substrate, the silicon-containing film having a mass ratio of silicon atoms to carbon atoms of 2 to 12. A shape transfer target layer is formed on the silicon-containing film. A fine pattern is transferred to the shape transfer target layer using a stamper that has a fine pattern to form a resist pattern. The silicon-containing film and the substrate are dry-etched using the resist pattern as a mask to form a pattern on the substrate in nanoimprint lithography. According to another aspect of the invention, a silicon-containing film includes silicon atoms and carbon atoms. A mass ratio of silicon atoms to carbon atoms is 2 to 12. The silicon-containing film is used for a pattern-forming method employed in nanoimprint lithography.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: July 29, 2014
    Assignee: JSR Corporation
    Inventors: Takashi Mori, Masato Tanaka, Yukio Nishimura, Yoshikazu Yamaguchi
  • Patent number: 8791023
    Abstract: A method of producing an inorganic thin film dielectric material layer includes providing a substrate. A first inorganic thin film dielectric material layer is deposited on the substrate using an atomic layer deposition process. The first inorganic thin film dielectric material layer is treated after its deposition. A patterned deposition inhibiting material layer is provided on the substrate. A second inorganic thin film dielectric material layer is selectively deposited on a region of the substrate where the deposition inhibiting material layer is not present using an atomic layer deposition process.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: July 29, 2014
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, David H. Levy, Shelby F. Nelson
  • Patent number: 8791024
    Abstract: The present disclosure provides a method that includes forming a first photoresist layer on a substrate; forming a second photoresist layer over the first photoresist layer; and performing a lithography exposure process to the first photoresist layer and the second photoresist layer, thereby forming a first latent feature in the first photoresist layer and a second latent feature in the second photoresist layer.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: July 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 8785328
    Abstract: A method for fabricating a semiconductor device includes forming an etching target layer over a substrate including a first region and a second region; forming a hard mask layer over the etching target layer; forming a first etch mask over the hard mask layer, wherein the first etch mask includes a plurality of line patterns and a sacrificial spacer layer formed over the line patterns; forming a second etch mask over the first etch mask, wherein the second etch mask includes a mesh type pattern and a blocking pattern covering the second region; removing the sacrificial spacer layer; forming hard mask layer patterns having a plurality of holes by etching the hard mask layer using the second etch mask and the first etch mask; and forming a plurality of hole patterns in the first region by etching the etching target layer using the hard mask layer patterns.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: July 22, 2014
    Assignee: SK Hynix Inc.
    Inventors: Jun-Hyeub Sun, Sung-Kwon Lee, Sang-Oh Lee
  • Patent number: 8785327
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device, includes forming first layer on first and second regions in substrate, first layer having first width in first region and having larger dimension than first width in second region, forming first sidewall on first layer, forming second layer covering first sidewall in the second region and forming third layer having second width smaller than first width on the side face of first sidewall having second width after removing first layer, forming second and third sidewalls having second width so that second and third sidewalls is adjacent to first sidewall across third layer by second width in first region and across second and third layers by second interval larger than second width in the second region.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: July 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keisuke Kikutani
  • Patent number: 8785329
    Abstract: In a method for forming a pattern according to an embodiment, a first guide pattern and a second guide pattern for induced self organization of a DSA material are formed on substrate. On a first DSA condition, a first phase-separated pattern having regularity with respect to the first guide pattern is formed, and a first pattern is formed by processing the lower layer side. Subsequently, on a second DSA condition, a second phase-separated pattern having regularity with respect to the second guide pattern is formed, and a second pattern is formed by processing the lower layer side.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: July 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shimon Maeda, Kenji Konomi
  • Publication number: 20140199847
    Abstract: According to one embodiment, a semiconductor device manufacturing method includes depositing a silicon film above a semiconductor substrate, forming an insulating film which includes silicon oxide or silicon nitride on the silicon film, forming a physical guide having a depressed portion above the insulating film, forming a directed self-assembly material layer which includes a first polymer and a second polymer in the depressed portion of the physical guide, phase-separating the directed self-assembly material layer into a first region which includes the first polymer and a second region which includes the second polymer, removing the second region, processing the insulating film by using the physical guide and the first region as masks, and transferring a pattern corresponding to the second region to the insulating film. Further, the silicon film is processed by using the pattern transferred onto the insulating film as a mask.
    Type: Application
    Filed: August 21, 2013
    Publication date: July 17, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yusuke KASAHARA, Noriko SAKURAI
  • Publication number: 20140199820
    Abstract: A method of forming a pattern includes forming an underlayer on an etching target layer by a chemical vapor deposition (CVD) process, the underlayer including a silicon compound combined with a photoacid generator (PAG), forming a photoresist layer on the underlayer, irradiating extreme ultraviolet (EUV) light on the photoresist layer to form a photoresist pattern, and etching the etching target layer using the photoresist pattern as an etching mask.
    Type: Application
    Filed: December 30, 2013
    Publication date: July 17, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ji-Man PARK, Hyo-Jin YUN, Jin-Seo LEE, Youn-Joung CHO, Jun-Hyun CHO, Jung-Sik CHOI
  • Patent number: 8778807
    Abstract: A method of forming an integrated circuit structure includes providing a substrate; forming a first hard mask layer over the substrate; forming a second hard mask layer over the first hard mask layer; patterning the second hard mask layer to form a hard mask; and, after the step of patterning the second hard mask layer, baking the substrate, the first hard mask layer, and the hard mask. After the step of baking, a spacer layer is formed, which includes a first portion on a top of the hard mask, and a second portion and a third portion on opposite sidewalls of the hard mask. The method further includes removing the first portion of the spacer layer; removing the hard mask; and using the second portion and the third portion of the spacer layer as masks to pattern the first hard mask layer.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yu Lai, Cheng-Ta Wu, Neng-Kuo Chen, Cheng-Yuan Tsai