Projection Of Etchant Against A Moving Substrate Or Controlling The Angle Or Pattern Of Projected Etchant Patents (Class 438/748)
  • Patent number: 6955994
    Abstract: A method of manufacturing a semiconductor device, including the steps of (a) rowing an InP layer on a surface of starting growth, resulting in the InP layer having a convex structure, and (b) wet etching the InP layer by an enchant including hydrochloric acid and acetic acid, and thereby flattening a surface of the InP layer.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: October 18, 2005
    Assignee: Fujitsu Quantum Devices Limited
    Inventors: Takayuki Watanabe, Hiroaki Ito, Takuya Fujii
  • Patent number: 6927164
    Abstract: A first conductive type layer having a band gap energy smaller than that of an under growth layer formed on a substrate is formed by selective growth from an opening portion formed in the under growth layer, and an active layer and a second conductive type layer are stacked on the first conductive type layer, to form a stacked structure. When such a stacked structure for forming a semiconductor device is irradiated with laser beams having an energy value between the band gap energies of the under growth layer and the first conductive type layer, abrasion occurs at a first conductive type layer side interface between the under growth layer and the first conductive type layer, so that the stacked structure is peeled from the substrate and the under growth layer and simultaneously isolated from another stacked structure for forming another semiconductor device.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: August 9, 2005
    Assignee: Sony Corporation
    Inventors: Goshi Biwa, Hiroyuki Okuyama, Masato Doi, Toyoharu Oohata
  • Patent number: 6861359
    Abstract: In order to prevent dusting from a peripheral end portion of a wafer, a semiconductor film formed is removed from at least the entire surface of the backside of the wafer and from the peripheral portion of the wafer by etching at a high etching rate relative to an insulating film present beneath the semiconductor film, to realize a semiconductor apparatus in which the semiconductor film is formed in an integrated circuit pattern region on the face side of the wafer. Thus, the problem of dusting from the peripheral portion of the wafer is obviated, and a semiconductor apparatus with high reliability is realized.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: March 1, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiro Ota, Noriyo Tomiyama, Teruhisa Ichise
  • Patent number: 6855640
    Abstract: When using hot alkaline etchants such as KOH, the wafer front side, where various devices and/or circuits are located, must be isolated from any contact with the etchant. This has been achieved by using two chambers that are separated from each other by the wafer that is to be etched. Etching solution in one chamber is in contact with the wafer's back surface while deionized water in the other chamber contacts the front surface. The relative liquid pressures in the chambers is arranged to be slightly higher in the chamber of the front surface so that leakage of etchant through a pin hole from back surface to front surface does not occur. As a further precaution, a monitor to detect the etchant is located in the DI water so that, if need be, etching can be terminated before irreparable damage is done.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: February 15, 2005
    Assignee: Institute of Microelectronics
    Inventors: Zhe Wang, Qingxin Zhang, Pang Dow Foo, Hanhua Feng
  • Patent number: 6828196
    Abstract: Embodiments of the present invention relate to a process for filling a trench structure of a semiconductor device to prevent formation of voids in the trench structure so as to minimize current leakage and provide excellent electrical properties. In one embodiment, a process for filling a trench of a semiconductor device comprises providing a semiconductor substrate; forming a silicon nitride layer on the semiconductor substrate; forming an oxide layer on the silicon nitride layer; partially removing the oxide layer, the silicon nitride layer and the semiconductor substrate to form at least one trench; forming a sacrificial oxide layer on sidewalls of the trench; removing the sacrificial oxide layer; performing an etching procedure to remove portions of the silicon nitride layer protruding from the sidewalls of the trench so as to form substantially even sidewalls of the trench; and forming a trench-fill layer to fill the trench and deposit on the oxide layer.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: December 7, 2004
    Assignee: Mosel Vitelec, Inc.
    Inventors: Pei-Feng Sun, Shih-Chi Lai, Mao-Song Tseng, Yi-Fu Chung
  • Patent number: 6821892
    Abstract: A method is disclosed for accurately predicting the wet etch end points as a function of the temperature and concentration of the etching solution, as well as of the thickness of the film to be etched. This is accomplished by fitting an etch rate equation to the process of etching a film in terms of two constant parameters that are determined by one set of experiments performed on a given wet etch bench. Thereafter, the constants are used with the rate equation to calculate precisely the etch rate of a film, and then the etch rate is divided into a target film loss or a target film thickness to obtain etching time, or time to etch, which takes into account the variations in temperature and concentration, for example, of the acid in the solution. The resulting film either looses the specified amount of material, or acquires the specified thickness without incurring any damage, which is especially suited for sub-micron semiconductor technology where precise etching is required.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: November 23, 2004
    Assignee: Promos Technologies, Inc.
    Inventors: Chun Hong Peng, Rex Chen, Simon Chang
  • Patent number: 6815371
    Abstract: Methods are provided for removing edge beads from spin-on films. A spin-on film is removed from a region of a surface of a spin-coated substrate adjacent to an edge of the surface by spinning the spin-coated substrate, expanding a fluid through a nozzle to form a cryogenic aerosol stream, and directing the cryogenic aerosol stream against the spin-on film in the region as the substrate spins. In another aspect of the invention, a film is formed on a surface of a substrate by dispensing a liquid composition onto the surface, spinning the substrate to distribute the liquid composition to form a substantially uniform film on the surface, expanding a fluid through a nozzle to form a cryogenic aerosol stream, and directing the cryogenic aerosol stream against the film in a region of the surface adjacent to an edge of the surface as the substrate spins. The film may include an alkoxysilane and a low volatility solvent. The fluid may consists essentially of liquid carbon dioxide.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: November 9, 2004
    Assignee: Honeywell International Inc.
    Inventor: Denis H. Endisch
  • Patent number: 6806209
    Abstract: A develop process for reduced cycle time and reduced defects in the develop process for semiconductor/IC fabrication is shown. The use of a linear slit scan nozzle provides even distribution of a layer of develop material within an acceptable thickness and uniformity range such that a pre-wet step is not needed to spread the develop material evenly over the surface of a wafer. The use of a whip operation prior to rinsing with DI water significantly reduces develop defects.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: October 19, 2004
    Assignee: Micron Technology, Inc.
    Inventors: John T. Davlin, Greg Montanino
  • Patent number: 6806205
    Abstract: Disclosed is a a method of fabricating a MEMS device by means of surface micromachining without leaving any stiction or residues by etching silicon oxide of a sacrificial layer, which is an intermediate layer between a substrate and a microstructure, rather than by etching silicon oxide of a semiconductor device. The method according to the invention includes the steps of supplying alcohol vapor bubbled with anhydrous HF, maintaining a temperature of the supplying device and a moving path of the anhydrous HF and the alcohol to be higher than a boiling point of the alcohol, performing a vapor etching by controlling a temperature and a pressure to be within the vapor region of a phase equilibrium diagram of water, and removing silicon oxide of a sacrificial layer on a lower portion of the microstructure.
    Type: Grant
    Filed: December 29, 2000
    Date of Patent: October 19, 2004
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Won-Ick Jang, Chang-Auck Choi, Chi-Hoon Jun, Youn-Tae Kim, Myung-Lae Lee
  • Patent number: 6803323
    Abstract: A passive integrated component (10) is formed overlying a semiconductor substrate by etching a composite conductive layer using a solution of sodium persulfate or ceric ammonium nitrate to remove a lower portion of the composite copper layer (64) exposed by an upper portion of the composite copper layer (74, 76, 78) to expose an underlying surface (62).
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: October 12, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Lakshmi Narayan Ramanathan, Douglas G. Mitchell, Varughese Mathew
  • Patent number: 6794270
    Abstract: A method for forming thoroughly deposited shallow trench isolation. A first oxide layer is formed conformally over the surface of a semiconductor substrate and on a trench thereon with an aspect ratio greater than 3. A liquid etching shield is filled in the trench by spin-spraying to cover the oxide layer in the trench. An etchant is then sprayed over the surface of the semiconductor substrate to remove the uncovered oxide layer and expose the surface of the semiconductor substrate. The density of the etchant is less than that of the liquid etching shield. A second oxide layer is deposited in the trench to form isolation without voids or seams.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: September 21, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Pei-Ing Lee, Chang Rong Wu, Tzu En Ho, Yi-Nan Chen, Hsien Wen Su
  • Patent number: 6794291
    Abstract: An apparatus for processing a semiconductor wafer or similar article includes a reactor having a processing chamber formed by upper and lower rotors. The wafer is supported between the rotors. The rotors are rotated by a spin motor. A processing fluid is introduced onto the top or bottom surface of the wafer, or onto both surfaces, at a central location. The fluid flows outwardly uniformly and in all directions. A wafer support automatically lifts the wafer, so that it can be removed from the reactor by a robot, when the rotors separate from each other after processing.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: September 21, 2004
    Assignee: Semitool, Inc.
    Inventors: Steven L. Peace, Gary L. Curtis, Raymon F. Thompson, Brian Aegerter, Curt T. Dundas
  • Patent number: 6790786
    Abstract: The invention includes semiconductor processing methods, including methods of forming capacitors. In one implementation, a semiconductor processing method includes providing a semiconductor substrate comprising a layer comprising at least one metal in elemental or metal alloy form. The metal comprises an element selected from the group consisting of platinum, ruthenium, rhodium, palladium, iridium, and mixtures thereof. At least a portion of the layer is etched in a halogenide, ozone and H2O comprising ambient.
    Type: Grant
    Filed: March 5, 2002
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Morgan, Patrick M. Flynn, Janos Fucsko
  • Patent number: 6780780
    Abstract: This application is about a method for removing the deep trench Si-needles on a wafer. The method includes steps of forming a photoresist layer on a frontside surface of the wafer, removing a specific area of the photoresist layer for exposing the Si-needles, proceeding a first etching and a second etching, and finally removing the photoresist layer on the frontside surface of the wafer. The first etching is a wet etching for removing the Si-needles by an etching solution etching from a backside surface of the wafer back to the frontside surface of the wafer. And, the second etching is a dry etching for removing the residual silicon nitride (SiN) slices formed during the first etching.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: August 24, 2004
    Assignee: Winbond Electronics Corp.
    Inventors: Chih-Jung Ni, Jia-Shing Jan, Yueh-Liang Liu
  • Patent number: 6767841
    Abstract: A process for producing a semiconductor wafer is based upon etching the semiconductor wafer with an etching medium flowing in a laminar flow along a direction of flow toward an edge of the semiconductor wafer. There is a protective shield arranged in front of the edge of the semiconductor wafer, so that the etching medium flows onto the protective shield and not onto the edge of the semiconductor wafer. There is also a process that has the semiconductor wafer being inclined with respect to the direction of flow of the etching medium, so that there is an angle of less than 180° between the direction of flow of the etching medium and a first side of the semiconductor wafer. Also, there is an angle of greater than 180° between the direction of flow of the etching medium and a second side of the semiconductor wafer, and the second side of the semiconductor wafer is subsequently polished.
    Type: Grant
    Filed: January 18, 2001
    Date of Patent: July 27, 2004
    Assignee: Siltronic AG
    Inventors: Günter Schwab, Helmut Franke, Manfred Schöfberger
  • Patent number: 6746615
    Abstract: An in-process microelectronics device is treated by applying a heated liquid to the surface of the in-process microelectronics device, removing a portion of the liquid from the surface of the in-process microelectronics device and applying anhydrous HF gas to the surface of the in-process microelectronics device.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: June 8, 2004
    Assignee: FSI International, Inc.
    Inventor: Christina Ann Ellis
  • Patent number: 6743722
    Abstract: A method of relieving surface stress on a thin wafer by removing a small portion of the wafer substrate, the substrate being removed by applying a solution of KOH to the wafer while the wafer spins.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: June 1, 2004
    Assignee: Strasbaugh
    Inventor: Salman M. Kassir
  • Patent number: 6723656
    Abstract: A method and apparatus for etching a semiconductor die are disclosed whereby flowing an etchant material across an inactive thereof thins the semiconductor die. In one embodiment, the etchant includes a mixture of nitric acid, hydrofluoric acid, and acetic acid and turbulently flows from one edge of the semiconductor die, across the inactive surface of the semiconductor die, to an opposing edge of the semiconductor die.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: April 20, 2004
    Assignee: Nisene Technology Group
    Inventor: Kirk Martin
  • Patent number: 6720263
    Abstract: A non-contact apparatus and method for removing a metal layer from a substrate are provided. The apparatus includes a rotatable anode substrate support member configured to support a substrate in a face-up position and to electrically contact the substrate positioned thereon. A pivotally mounted cathode fluid dispensing nozzle assembly positioned above the anode substrate support member is also provided. A power supply in electrical communication with the anode substrate support member and the cathode fluid dispensing nozzle is provided, and a system controller configured to regulate at least one of a rate of rotation of the anode substrate support member, a radial position of the cathode fluid dispensing nozzle, and an output power of the power supply is provided. The method provides for the removal of a metal layer from a substrate by rotating the substrate in a face up position on a rotatable substrate support member.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: April 13, 2004
    Assignee: Applied Materials Inc.
    Inventors: Donald J. K. Olgado, Joseph J. Stevens, Alexander Lerner
  • Patent number: 6709531
    Abstract: In this disclosure, air flow is formed above chemical liquid film and a move of the chemical liquid is generated by making the air flow into a contact with the surface of chemical liquid. Further, a negative pressure is generated in a space between a processing object substrate and a plate by rotating the plate. Consequently, uniformity of processing of chemical liquid is improved, so that liquid removing step can be carried out effectively. As a result, yield rate of chemical liquid treatment can be improved.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: March 23, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinichi Ito, Riichiro Takahashi, Tatsuhiko Ema, Katsuya Okamura
  • Publication number: 20040029395
    Abstract: Process solutions comprising one or more acetylenic diol type surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain preferred embodiments, the process solution of the present invention may reduce post-development defects by improving the wetting of the solution on the surface of the patterned photoresist layer while minimizing foaming and bubble generation.
    Type: Application
    Filed: August 12, 2002
    Publication date: February 12, 2004
    Inventors: Peng Zhang, Danielle Megan King, Eugene Joseph Karwacki, Leslie Cox Barber
  • Publication number: 20040029396
    Abstract: Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain preferred embodiments, the process solution of the present invention may reduce post-development defects such as pattern collapse when employed as a rinse solution either during or after the development of the patterned photoresist layer. Also disclosed is a method for reducing the number of pattern collapse defects on a plurality of photoresist coated substrates employing the process solution of the present invention.
    Type: Application
    Filed: January 9, 2003
    Publication date: February 12, 2004
    Inventors: Peng Zhang, Danielle Megan King Curzi, Eugene Joseph Karwacki, Leslie Cox Barber
  • Patent number: 6686297
    Abstract: A method of manufacturing an electronic device, in particular but not exclusively a semiconductor device, in which method a substrate (2) is placed inside a process chamber (1) and a surface (3) of the substrate (2) is subjected to an ozone treatment comprising the steps of: providing a liquid onto the surface (3) of the substrate (2) via first supply means, introducing a solution comprising a liquid carrier solvent and ozone gas into the process chamber (1) via second supply means, without bringing about direct contact between the solution and the surface (3) of the substrate (2).
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: February 3, 2004
    Inventors: Georg Gogg, Dirk Maarten Knotter, Charlene Reaux, Steve Nelson
  • Patent number: 6683009
    Abstract: A method is described for local etching of surfaces. The method includes the steps of providing a surface, providing an etchant, and providing a device for supplying and extracting the etchant. The device contains two cylindrical lines of different cross-sectional areas, of which the cylindrical line with the smaller cross-sectional area is guided inside the cylindrical line with the larger cross-sectional area. An etchant is fed through the inner line to the region of the semiconductor wafer that is to be etched, and the etchant that spreads out beyond the region of the surface that is to be etched is extracted through the outer line. The cross-sectional area of the outer line is less than or equal to the area of the region of the surface which is to be etched.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: January 27, 2004
    Assignee: Infineon Technologies AG
    Inventors: Frank Adler, Guido Angenendt
  • Patent number: 6680123
    Abstract: An embedding resin embeds an electronic part in an object and has a dielectric constant of about 5 or less and tan &dgr; of about 0.08 or less.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: January 20, 2004
    Assignee: NGK Spark Plug Co., Ltd.
    Inventors: Hiroki Takeuchi, Toshifumi Kojima, Kazushige Obayashi, Hisahito Kashima
  • Publication number: 20030219992
    Abstract: A method for the duplication of microscopic patterns from a master to a substrate is disclosed, in which a replica of a topographic structure on a master is formed and transferred when needed onto a receiving substrate using one of a variety of printing or imprint techniques, and then dissolved. Additional processing steps can also be carried out using the replica before transfer, including the formation of nanostructures, microdevices, or portions thereof. These structures are then also transferred onto the substrate when the replica is transferred, and remain on the substrate when the replica is dissolved. This is a technique that can be applied as a complementary process or a replacement for various lithographic processing steps in the fabrication of integrated circuits and other microdevices.
    Type: Application
    Filed: September 17, 2002
    Publication date: November 27, 2003
    Inventor: Charles Daniel Schaper
  • Publication number: 20030213772
    Abstract: An integrated semiconductor substrate bevel cleaning system that enables transfer of substrates through the bevel cleaner either with or without substrate processing within the bevel cleaner. The invention provides an integrated bevel cleaning apparatus comprising a transfer position, a rinsing position and an etching position.
    Type: Application
    Filed: February 16, 2001
    Publication date: November 20, 2003
    Inventors: Yeuk-Fai Edwin Mok, Alexander Ko, Bernardo Donoso, Joseph J. Stevens
  • Patent number: 6649077
    Abstract: A method and an apparatus for removing coating layers from the top of alignment marks on a wafer situated in a spin processor are described. The method may be carried out by first providing a spin process equipped with a rotatable wafer pedestal, then providing a wafer that has at least one alignment mark covered by a coating layer, mounting an edge ring on an outer periphery of the wafer pedestal, the edge ring has at least one tab section extending outwardly from an inner periphery of the edge ring, then positioning the wafer faced down and supported by an inert gas flow on the edge ring such that a narrow gap is formed between the tab section on the edge ring and the alignment marks and dispensing an etchant onto a backside of the wafer while rotating.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: November 18, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd
    Inventors: Pang-Yen Tsai, Tien-Chen Hu, Sen-Shan Yang, Wei-Cheng Ku
  • Patent number: 6645874
    Abstract: An apparatus and method for delivering ozone to a workpiece. In one embodiment, fluid is sprayed onto a workpiece placed in an ozone-rich environment. Alternatively, ozone is mixed with the fluid prior to spraying the fluid onto the workpiece. When spraying the fluid, the invention pulses the fluid at desired rates to create a substantially uniform layer of ozone-rich fluid on the workpiece. In another embodiment, the workpiece is also slowly rotated during at least a portion of the time the layer of ozone-rich fluid is applied to the workpiece.
    Type: Grant
    Filed: May 24, 2001
    Date of Patent: November 11, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Jonathan C. Morgan, Paul A. Morgan
  • Patent number: 6624087
    Abstract: An etchant for patterning indium tin oxide, wherein the etchant is a mixed solution of HCl, CH3COOH, and water, and a method of fabricating a liquid crystal display device are disclosed in the present invention. The method includes forming a gate electrode on a substrate, forming a gate insulating layer and an amorphous silicon layer on the gate electrode including the substrate, forming an active area by patterning the amorphous silicon layer, forming a source electrode and a drain electrode on the active area, forming a passivation layer on the source electrode and the drain electrode and the gate insulating layer, forming a contact hole exposing a part of the drain electrode, forming an indium tin oxide layer on the passivation layer, and forming an indium tin oxide electrode by selectively etching the indium tin oxide layer using a mixed solution of HCl, CH3COOH, and water as an etchant.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: September 23, 2003
    Assignee: LG. Philips Co., Ltd.
    Inventors: Byung Tae Roh, You Shin Ahn
  • Patent number: 6589855
    Abstract: The semiconductor wafer is made thin without any cracks and warp under good workability. The semiconductor wafer thinning process includes the first step of preparing a carrier 1 formed of a base 1a and a suction pad 1b provided on one surface of the base 1a or formed of a base film with an adhesive, the second step of bonding a semiconductor wafer to the carrier 1 in such a manner that a rear surface of the semiconductor wafer 2 with no circuit elements formed therein is opposite to the carrier to form a wafer composite 10, and the third step of holding the carrier of the wafer composite 10 with its semiconductor wafer 2 side up and spin-coating an etchant on the rear surface of the semiconductor wafer 2 thereby to make the semiconductor wafer 2 thin.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: July 8, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Toshio Miyamoto, Kunihiro Tsubosaki, Mitsuo Usami
  • Patent number: 6589882
    Abstract: The invention includes a method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising NO3−, F− and one or more organic acid anions having carboxylate groups. The invention also includes a semiconductor processing method of forming an opening to a copper-containing material. A mass is formed over a copper-containing material within an opening in a substrate. The mass contains at least one of an oxide barrier material and a dielectric material. A second opening is etched through the mass into the copper-containing material to form a base surface of the copper-containing material that is at least partially covered by particles comprising at least one of a copper oxide, a silicon oxide or a copper fluoride. The base surface is cleaned with a solution comprising nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: July 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael T. Andreas, Paul A. Morgan
  • Patent number: 6586342
    Abstract: Chemical etching methods and associated modules for performing the removal of metal from the edge bevel region of a semiconductor wafer are described. The methods and systems apply liquid etchant in a precise manner at the edge bevel region of the wafer under viscous flow conditions, so that the etchant is applied on to the front edge area and flows over the side edge and onto the back edge in a viscous manner. The etchant thus does not flow or splatter onto the active circuit region of the wafer. An edge bevel removal embodiment involving that is particularly effective at obviating streaking, narrowing the metal taper and allowing for subsequent chemical mechanical polishing, is disclosed.
    Type: Grant
    Filed: September 12, 2001
    Date of Patent: July 1, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Steven T. Mayer, Seshasayee Varadarajan, Andrew J. McCutcheon
  • Publication number: 20030119331
    Abstract: In the method for removing etching residue adhered on a semiconductor substrate using a stripping liquid containing fluorine, the stripping liquid on the semiconductor substrate can be flung away by rotating the semiconductor substrate after the residue removing treatment. Thereby, the etching of the interlayer insulating film caused between the residue removing treatment and washing with water can be minimized.
    Type: Application
    Filed: May 21, 2002
    Publication date: June 26, 2003
    Inventor: Seiji Muranaka
  • Patent number: 6579810
    Abstract: A method of removing a photoresist layer on a semiconductor wafer starts with placing the semiconductor wafer into a dry strip chamber. A dry stripping process is performed to remove the photoresist layer on the semiconductor wafer. The semiconductor wafer is then placed on a rotator of a wet clean chamber and horizontally rotated. A first cleaning process is performed to remove polymers and organic components on a surface of the semiconductor wafer. Then a second cleaning process is performed as well to remove polymers and particles on the surface of the semiconductor wafer. By performing a third cleaning process, a first cleaning solution employed in the first cleaning process and a second cleaning solution employed in the second cleaning process are removed from the surface of the semiconductor wafer. Finally, the semiconductor wafer is spun dry at the end of the method.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: June 17, 2003
    Assignee: Macronix International Co. Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6579382
    Abstract: In this disclosure, air flow is formed above chemical liquid film and a move of the chemical liquid is generated by making the air flow into a contact with the surface of chemical liquid. Further, a negative pressure is generated in a space between a processing object substrate and a plate by rotating the plate. Consequently, uniformity of processing of chemical liquid is improved, so that liquid removing step can be carried out effectively. As a result, yield rate of chemical liquid treatment can be improved.
    Type: Grant
    Filed: February 16, 2001
    Date of Patent: June 17, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shinichi Ito
  • Patent number: 6559072
    Abstract: A develop process for reduced cycle time and reduced defects in the develop process for semiconductor/IC fabrication is shown. The use of a linear slit scan nozzle provides even distribution of a layer of develop material within an acceptable thickness and uniformity range such that a pre-wet step is not needed to spread the develop material evenly over the surface of a wafer. The use of a whip operation prior to rinsing with DI water significantly reduces develop defects.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: May 6, 2003
    Assignee: Micron Technology, Inc.
    Inventors: John T. Davlin, Greg Montanino
  • Patent number: 6551945
    Abstract: A ruthenium containing metal 6′ adhering to a periphery of a device forming area, an end face and a rear face in a silicon substrate 10 is removed using a first remover containing (a) at least one compound selected from the group consisting of salts containing chlorate, perchlorate, iodate, periodate, salts containing bromine oxide ion, salts containing manganese oxide ion and salts containing tetravalent cerium ion and (b) at least one acid selected from the group consisting of nitric acid, acetic acid, iodic acid and chloric acid. After the removing treatment, the substrate is washed with hydrofluoric acid to remove the residual remover.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: April 22, 2003
    Assignee: NEC Corporation
    Inventors: Hidemitsu Aoki, Kaori Watanabe
  • Publication number: 20030073309
    Abstract: The present invention generally provides an improved apparatus and method for removing an edge bead from a substrate. The apparatus includes a processing chamber having an edge bead removal fluid distribution system positioned therein and a substrate support member positioned in the processing chamber proximate the fluid distribution system. The substrate support member generally includes an upper substrate support surface having a plurality of fluid dispensing apertures formed therein, at least three capillary ring support posts radially positioned about a perimeter of the upper substrate support surface, and a annular capillary ring having a planar upper surface rigidly mounted to the capillary ring support posts.
    Type: Application
    Filed: October 16, 2001
    Publication date: April 17, 2003
    Applicant: Applied Materials, Inc.
    Inventor: Ramin Emami
  • Patent number: 6528425
    Abstract: A substrate with striped ridge patterns formed on the surface thereof is transported along a transport path. A relationship is determined between the direction of the striped ridge patterns on the substrate surface and the direction of jetting out fluid to the substrate surface. The fluid is jetted out and blown to the substrate surface along the direction satisfying the determined relationship to process the surface of the substrate. It is possible to reliably perform a surface process of each substrate irrespective of different directions of striped ridge patterns on substrate surfaces.
    Type: Grant
    Filed: December 23, 1997
    Date of Patent: March 4, 2003
    Assignee: Fujitsu Limited
    Inventors: Masahiro Uraguchi, Mitsugu Uemura, Ryuji Maeda
  • Patent number: 6494219
    Abstract: Embodiments of the invention generally provide an etchant mixing assembly for a semiconductor processing system. The etchant mixing assembly includes at least one acid source, at least one oxidizer source, a mixing tank selectively in fluid communication with the at least one acid source and the at least one oxidizer source, and a mixed etchant tank in fluid communication with the mixing tank. Additionally, a system controller configured to sense a low level of fluid in the mixed etchant tank, cause a fresh fluid solution to be mixed in the mixing tank, and cause the fresh fluid solution to the communicated to the mixed etchant tank is also provided in the etchant mixing assembly.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: December 17, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Radha Nayak, Yezdi Dordi, Joseph Stevens, Peter Hey
  • Publication number: 20020162571
    Abstract: The present invention provides a planar clean method applicable to shallow trench isolation (STI) for cleaning a substrate having a STI region formed thereon and a high density plasma (HDP) oxide on the surface of the STI region. A buffer oxide etch cleaning solution is exploited and matched by a planar clean way to let the oxide losses of the surface of the silicon substrate and the STI corners match the height and shape of the HDP oxide in the STI region. Thereby, the phenomenon of wrap rounding at the STI corners, which influences growth of the next thermal oxide, can be avoided. The present invention can prevent the STI corners from generating parasitic device characteristics and enhance electric characteristics of the device.
    Type: Application
    Filed: May 2, 2001
    Publication date: November 7, 2002
    Inventors: Chun Lien Su, Chun Chi Wang, Gen Da You
  • Patent number: 6444589
    Abstract: An etching method and an etching apparatus for applying an etchant containing nitric acid and hydrofluoric acid to silicon to etch the silicon. The etchant used in etching is recovered, and brought into contact with a gas inert to the etchant, whereby the etchant is regenerated. At least a part of the regenerated etchant is reused in etching.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: September 3, 2002
    Assignees: Nisso Engineering Co., Ltd., Disco Corporation
    Inventors: Akira Yoneya, Noriyuki Kobayashi, Nobuhiko Izuta
  • Publication number: 20020113039
    Abstract: An integrated semiconductor substrate bevel cleaning system that enables transfer of substrates through the bevel cleaner either with or without substrate processing within the bevel cleaner. The invention provides an integrated bevel cleaning apparatus comprising a transfer position, a rinsing position and an etching position.
    Type: Application
    Filed: February 16, 2001
    Publication date: August 22, 2002
    Inventors: Yeuk-Fai Edwin Mok, Alexander Ko, Bernardo Donoso, Joseph J. Stevens
  • Patent number: 6436809
    Abstract: A method of manufacturing semiconductor devices is provided for forming a tungsten plug or polysilicon plug and minimizing the step-height of the intermediate insulating layer. An etching composition for this process is also provided as are semiconductor devices manufactured by this process. The method of manufacturing semiconductor devices includes the steps of forming a tungsten film having a certain thickness on an insulating layer and burying contact holes formed in the insulating layer constituting a specific semiconductor structure, and spin-etching the tungsten film using a certain etching composition such that the tungsten film is present only inside the contact holes not existing on the insulating film.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: August 20, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyu-hwan Kwag, Se-jong Ko, Kyung-seuk Hwang, Jun-ing Gil, Sang-o Park, Dae-hoon Kim, Sang-moon Chon, Ho-Kyoon Chung
  • Publication number: 20020102812
    Abstract: A method for improving alignment precision in forming a color filter array is disclosed. This method comprises providing a substrate having a node region in the substrate and a dielectric layer on the substrate, and etching a portion of the dielectric layer to expose the node region. As a result, the alignment precision is improved by use of the node region with enhanced step height to increase the intensity of signal in a semiconductor process.
    Type: Application
    Filed: January 31, 2001
    Publication date: August 1, 2002
    Inventors: Jeenh-Bang Yeh, Cheng-Der Chen, Shih-Yao Lin
  • Patent number: 6413436
    Abstract: In a process for treating a workpiece such as a semiconductor wafer, a processing fluid is selectively applied or excluded from an outer peripheral margin of at least one of the front or back sides of the workpiece. Exclusion and/or application of the processing fluid occurs by applying one or more processing fluids to the workpiece while the workpiece and a reactor holding the workpiece are spinning. The flow rate of the processing fluids, fluid pressure, and/or spin rate are used to control the extent to which the processing fluid is selectively applied or excluded from the outer peripheral margin.
    Type: Grant
    Filed: November 10, 1999
    Date of Patent: July 2, 2002
    Assignee: Semitool, Inc.
    Inventors: Brian Aegerter, Curt T. Dundas, Michael Jolley, Tom L. Ritzdorf, Steven L. Peace, Gary L. Curtis, Raymon F. Thompson
  • Patent number: 6410442
    Abstract: In-laid metallization patterns of copper or a copper alloy are fabricated by a damascene-type process wherein the upper surface of a thick, electroplated copper or copper alloy blanket or overburden layer filling recesses in a substrate surface is subjected to a mask-less, chemically-based differential etching step for partially planarizing/thickness reduction prior to a step of planarization by chemical-mechanical polishing (CMP). The inventive process enables an increase in manufacturing throughput, reduction in cost, and reduction in spent CMP slurry generation.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: June 25, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Kai Yang
  • Publication number: 20020058422
    Abstract: Disclosed is a a method of fabricating a MEMS device by means of surface micromachining without leaving any stiction or residues by etching silicon oxide of a sacrificial layer, which is an intermediate layer between a substrate and a microstructure, rather than by etching silicon oxide of a semiconductor device. The method according to the invention includes the steps of supplying alcohol vapor bubbled with anhydrous HF, maintaining a temperature of the supplying device and a moving path of the anhydrous HF and the alcohol to be higher than a boiling point of the alcohol, performing a vapor etching by controlling a temperature and a pressure to be within the vapor region of a phase equilibrium diagram of water, and removing silicon oxide of a sacrificial layer on a lower portion of the microstructure.
    Type: Application
    Filed: December 29, 2000
    Publication date: May 16, 2002
    Inventors: Won-Ick Jang, Chang-Auck Choi, Chi-Hoon Jun, Youn-Tae Kim, Myung-Lae Lee
  • Patent number: 6376155
    Abstract: In a semiconductor device fabricating process, a chemical amplification resist layer is formed on an insulating film formed on a semiconductor substrate, and the chemical amplification resist layer is patterned to form an opening. The insulating film formed on the semiconductor substrate is wet-etched using the patterned chemical amplification resist layer as a mask. Before the wet-etching is carried out, a surface treatment is conducted for the patterned chemical amplification resist layer to form an insoluble layer at a surface of the patterned chemical amplification resist layer, thereby to elevate a wet-etching-resistance of the patterned chemical amplification resist layer. Thus, deformation of a resist pattern formed of the patterned chemical amplification resist layer is prevented in the wet etching process, so that an opening pattern of a desired shape is formed in the insulating film.
    Type: Grant
    Filed: May 25, 1999
    Date of Patent: April 23, 2002
    Assignee: NEC Corporation
    Inventor: Katsuyuki Ito