Chemical Etching Patents (Class 438/8)
  • Publication number: 20130029436
    Abstract: A hard mask made of a material in which the pattern precision is degraded by oxidation, a protective film, which protects the hard mask film from oxidation, a first mask film and a first organic film are sequentially stacked. The first organic film is processed into a first pattern, and the first mask film is firstly etched using the patterned the first organic film as a mask. After the first organic film is removed, a second organic film is formed. The second organic film is processed into a second pattern. The first mask film is secondary etched using the patterned second organic film as a mask so that the surface of the first mask film is exposed but the surface of the protective film is not exposed, thereby selectively patterning only the first mask film. After that, when removing the residual second organic film by ashing, it is possible to ensure the function of the protective film that protects the hard mask film from oxidation.
    Type: Application
    Filed: July 26, 2012
    Publication date: January 31, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Osamu FUJITA
  • Publication number: 20130011938
    Abstract: A method for manufacturing TSVs, wherein the method comprises several steps as follows: A stack structure having a substrate and an ILD layer (inter layer dielectric layer) is provided, in which an opening penetrating through the ILD layer and further extending into the substrate is formed. After an insulator layer and a metal barrier layer are formed on the stack structure and the sidewalls of the opening, a top metal layer is then formed on the stack structure to fulfill the opening. A first planarization process stopping on the barrier layer is conducted to remove a portion of the top metal layer. A second planarization process stopping on the ILD layer is subsequently conducted to remove a portion of the metal barrier layer, a portion of the insulator layer and a portion of the top metal layer, wherein the second planarization process has a polishing endpoint determined by a light interferometry or a motor current.
    Type: Application
    Filed: July 6, 2011
    Publication date: January 10, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Wei-Che TSAO, Chia-Lin Hsu, Jen-Chieh Lin, Teng-Chun Tsai, Hsin-Kuo Hsu, Ya-Hsueh Hsieh, Ren-Peng Huang, Chih-Hsien Chen, Wen-Chin Lin, Yung-Lun Hsieh
  • Patent number: 8334190
    Abstract: A one-step CMP process for polishing three or more layer film stacks on a wafer having a multilayer film stack thereon including a silicon nitride (SiNx) layer on its semiconductor surface, and a silicon oxide layer on the SiNx layer, wherein trench access vias extend through the silicon oxide layer and SiNx layer to trenches formed into the semiconductor surface, and wherein a polysilicon layer fills the trench access vias, fills the trenches, and is on the silicon oxide layer. CMP polishes the multilayer film stack with a slurry including slurry particles including at least one of silica and ceria. The CMP provides a removal rate (RR) for the polysilicon layer > a RR for the silicon oxide layer > a RR for the SiNx layer. The CMP process is continued to remove the polysilicon layer, silicon oxide layer and a portion of the SiNx layer to stop on the SiNx layer. Optical endpointing during CMP can provide a predetermined remaining thickness range for the SiNx layer.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: December 18, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Eugene C. Davis, Binghua Hu, Sopa Chevacharoenkul, Prakash D. Dev
  • Patent number: 8309371
    Abstract: A system and method include forming an optical cavity by positioning a photonic crystal a predetermined distance from a substrate, and creating, within the cavity, a standing wave having a substantially flat wavefront. The standing wave may be created by applying an input wave to a first surface of the photonic crystal. The predetermined distance may be such that a peak intensity of the standing wave is proximate to or a calculated distance from the substrate surface. The peak intensity may vary in relation to the substrate surface. The method may include tuning the peak intensity location within the cavity by shifting the wavelength of the input wave or altering the characteristics of the photonic crystal by an external field. A second photonic crystal may be used on the other side of the substrate to replace the reflecting properties of the substrate, allowing for further smoothing of the wavefront.
    Type: Grant
    Filed: July 21, 2009
    Date of Patent: November 13, 2012
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Paul R. De La Houssaye, J. Scott Rodgers
  • Publication number: 20120282712
    Abstract: Recess markers are implanted in a material during deposition and used during etching of the material for in-situ removal rate and removal homogeneity-over-radius definitions. An embodiment includes depositing a material on a substrate, implanting two dopants at two predetermined times, respectively, during deposition of the material, etching the material, detecting depths of the two dopants during etching, calculating the removal rate of the material in situ from the depths of the two dopants, and determining from the removal rate an etching stop position. Embodiments further include laterally implanting two dopants in a material at a predetermined depth during deposition, etching the material, detecting the positions and intensities of the two dopants during etching, and calculating lateral homogeneity of the material in situ from intensities of the dopants. Embodiments further include in situ corrective action for the removal process based on the determined removal rate and lateral homogeneity.
    Type: Application
    Filed: May 15, 2012
    Publication date: November 8, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Dmytro Chumakov, Peter Baars
  • Publication number: 20120282713
    Abstract: A target space ratio of a monitor pattern on a substrate for inspection is determined to be different from a ratio of 1:1. A range of space ratios in a library is determined to include the target space ratio and not include a space ratio of 1:1. The monitor pattern is formed on a film to be processed by performing predetermined processes on the substrate for inspection. Sizes of the monitor pattern are measured. The sizes of the monitor pattern are converted into sizes of a pattern of the film to be processed having a space ratio of 1:1, and processing conditions of the predetermined processes are compensated for based on the sizes of the converted pattern of the film to be processed. After that, the predetermined processes are performed on a wafer under the compensated conditions to form a pattern having a space ratio of 1:1 on the film to be processed.
    Type: Application
    Filed: December 17, 2010
    Publication date: November 8, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Keisuke Tanaka, Machi Moriya
  • Patent number: 8304262
    Abstract: A method for etching features in an etch layer. A conditioning for a patterned pseudo-hardmask of amorphous carbon or polysilicon disposed over the etch layer is provided, where the conditioning comprises providing a fluorine free deposition gas comprising a hydrocarbon gas, forming a plasma from the fluorine free deposition gas, providing a bias less than 500 volts, and forming a deposition on top of the patterned pseudo-hardmask. The etch layer is etched through the patterned pseudo-hardmask.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: November 6, 2012
    Assignee: Lam Research Corporation
    Inventors: Ben-Li Sheu, Rajinder Dhindsa, Vinay Pohray, Eric A. Hudson, Andrew D. Bailey, III
  • Patent number: 8292693
    Abstract: A method of controlling the polishing of a substrate includes polishing a substrate on a first platen using a first set of parameters, obtaining first and second sequences of measured spectra from first and second regions of the substrate with an in-situ optical monitoring system, generating first and second sequences of values from the first and second sequences of measured spectra, fitting first and second linear functions to the first and second sequences of values, determining a difference between the first linear function and the second linear function, adjusting at least one parameter of the first set of parameters based on the difference, and polishing the second substrate on the first platen using the adjusted parameter.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey Drue David, Harry Q. Lee, Boguslaw A. Swedek, Dominic J. Benvegnu, Zhize Zhu, Wen-Chiang Tu
  • Publication number: 20120264237
    Abstract: A method for designing, fabricating, and predicting a desired structure in and/or on a host material through defining etch masks and etching the host material is provided. The desired structure can be micro- or nanoscale structures, such as suspended nanowires and corresponding supporting pillars, and can be defined one layer at a time. Arbitrary desired structures can also be defined and obtained through etching. Further, given the desired structure, a starting structure can be predicted where etching of the starting structure yields the desired structure.
    Type: Application
    Filed: June 13, 2011
    Publication date: October 18, 2012
    Inventors: Michael SHEARN, Michael David HENRY, Axel SCHERER
  • Patent number: 8282744
    Abstract: Disclosed is to supply processing liquid having a predetermined flow rate and concentration to substrate processing units of a substrate processing apparatus with high accuracy. The substrate processing apparatus, which processes substrates in the substrate processing units using the processing liquid supplied from a processing liquid supply part, sequentially carries the substrates to the respective substrate processing units, and controls the processing start time such that if the flow rate of the processing liquid used in one of the substrate processing units is less than the control flow rate that is controllable at the processing liquid supply part, the substrates are carried to the plurality of substrate processing units until a flow rate of the processing liquid reaches the control flow rate that is controllable at the processing liquid supply part and then the processing liquid is used simultaneously in the plurality of the substrate processing units.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Shigenori Kitahara
  • Patent number: 8274107
    Abstract: In order to link a defect inspection process before forming contact holes with an exposure process for forming the contact holes, a position (physical coordinates) of a defect on a wafer is stored, the defect having been detected in the defect inspection process before forming the contact holes, an exposure (dummy exposure) is performed under the condition that no contact hole is formed on the above-mentioned position. In this method, no contact hole is formed in the region having the defect therein, the cell is considered as a defective one, yet a word line (control gate) and a bit line are not short-circuited through the contact hole, and makes it possible to avoid the short-circuiting by only applying a redundancy to the bit line as conventionally employed.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: September 25, 2012
    Assignee: Spansion LLC
    Inventor: Reiji Makara
  • Patent number: 8241940
    Abstract: This disclosure presents manufacturing methods and apparatus designs for making TFSSs from both sides of a re-usable semiconductor template, thus effectively increasing the substrate manufacturing throughput and reducing the substrate manufacturing cost. This approach also reduces the amortized starting template cost per manufactured substrate (TFSS) by about a factor of 2 for a given number of template reuse cycles.
    Type: Grant
    Filed: February 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Solexel, Inc.
    Inventors: Mehrdad M. Moslehi, Karl-Josef Kramer, David Xuan-Qi Wang, Pawan Kapur, Somnath Nag, George D Kamian, Jay Ashjaee, Takao Yonehara
  • Patent number: 8241923
    Abstract: A method for correcting a mask pattern used for dry-etching an object with higher accuracy, and for manufacturing an acceleration sensor and an angular velocity sensor. The object is first etched by a dry-etching process using an uncorrected reference mask pattern. Then, distribution of the size of expansion of a tapered portion formed in a surface of the object is measured. Thereafter, the measured distribution is approximated by using a quadratic curve (Y=AX2+B) so as to determine A and B. Consequently, an amount t of correction for the tapered portion, which is expressed by the following equation (1) and related to a width of an opening of the mask pattern in a position at a distance r from a center of the object to be etched, can be set. In this way, the correction for the tapered portion can be carried out.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: August 14, 2012
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Akio Morii
  • Publication number: 20120202301
    Abstract: A disclosed method of forming a mask pattern includes forming a first resist film on a film to be etched, opening portions on the first resist film at a predetermined pitch, a first film on the first resist film so as to cover sidewalls of the first opening portions, a second resist film, second opening portions alternately arranged with the first opening portions on the second resist film, and a second film on the second resist film so as to cover sidewalls of the second opening portions, and removing a part of the second film so that the second film is left as first sidewall portions, a part of the first resist film using the first sidewall portions as a mask to form third opening portions, and a part of the first film while leaving the first film as second sidewall portions to form fourth opening portions.
    Type: Application
    Filed: January 23, 2012
    Publication date: August 9, 2012
    Applicant: Tokyo Electron Limited
    Inventor: Hidetami YAEGASHI
  • Patent number: 8220142
    Abstract: Electronic devices are provided with housing components that have improved aesthetics. One or more holes may be formed through a portion of the housing and then the housing portion may be anodized. The anodization process may increase or decrease the geometries of each hole. The holes may be formed through the housing portion from a cosmetic side of the housing portion to an interior side of the housing portion.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: July 17, 2012
    Assignee: Apple Inc.
    Inventor: Way Chet Lim
  • Patent number: 8202739
    Abstract: A semiconductor device is formed by implanting recess markers in a material during deposition and using the recess markers during etching of the material for precise in-situ removal rate definition and removal homogeneity-over-radius definition. An embodiment includes depositing a layer of material on a substrate, implanting first and second dopants in the material at first and second predetermined times during deposition of the material, etching the material, detecting the depths of the first and second dopants during etching, calculating the removal rate of the material in situ from the depths of the first and second dopants, and determining from the removal rate a stop position for etching.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: June 19, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Dmytro Chumakov, Peter Baars
  • Patent number: 8187897
    Abstract: Product chips and die, methods for fabricating product chips, and methods for tracking the identity of die after singulation from a wafer. The product chips and die include a pattern of features formed in a metallization level of a back-end-of-line (BEOL) wiring structure. The features in the pattern contain information relating to the die, such as a unique identifier that includes a wafer identification for a wafer used to fabricate the die and a product chip location for the die on the wafer. The features may be imaged with the assistance of a beam of electromagnetic radiation that penetrates into a packaged die and is altered by the presence of the features in a way that promotes imaging.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: May 29, 2012
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, Mark J. Flemming, John C. Malinowski, Karl V. Swanke
  • Publication number: 20120122249
    Abstract: A semiconductor device is formed by implanting recess markers in a material during deposition and using the recess markers during etching of the material for precise in-situ removal rate definition and removal homogeneity-over-radius definition. An embodiment includes depositing a layer of material on a substrate, implanting first and second dopants in the material at first and second predetermined times during deposition of the material, etching the material, detecting the depths of the first and second dopants during etching, calculating the removal rate of the material in situ from the depths of the first and second dopants, and determining from the removal rate a stop position for etching.
    Type: Application
    Filed: November 16, 2010
    Publication date: May 17, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Dmytro Chumakov, Peter Baars
  • Patent number: 8175736
    Abstract: A processing system and method for chemical oxide removal (COR) is presented, wherein the processing system comprises a first treatment chamber and a second treatment chamber, wherein the first and second treatment chambers are coupled to one another. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate is exposed to a gaseous chemistry, such as HF/NH3, under controlled conditions including surface temperature and gas pressure. The second treatment chamber comprises a heat treatment chamber that provides a temperature controlled chamber, thermally insulated from the chemical treatment chamber. The heat treatment chamber provides a substrate holder for controlling the temperature of the substrate to thermally process the chemically treated surfaces on the substrate.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Tomoyasu, Merritt Funk, Kevin A. Pinto, Masaya Odagiri, Lemuel Chen, Asao Yamashita, Akira Iwami, Hiroyuki Takahashi
  • Patent number: 8163571
    Abstract: For providing control of two-step or a multi-step deposition process, a method and a corresponding deposition system is provided comprising providing a deposition process having at least two sub-processes employing different sets of process parameters, wherein each set of process parameters comprises at least one process parameter. The method comprises controllably generating an actual value for at least one first process parameter by taking into account at least one previous value of the respective first process parameter, wherein each first process parameter is a process parameter of said at least two sets of process parameters.
    Type: Grant
    Filed: January 29, 2008
    Date of Patent: April 24, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Roland Jaeger, Frank Wagenbreth, Frank Koschinsky
  • Publication number: 20120091454
    Abstract: A method for process control is disclosed. The method includes performing an etching process on a semiconductor substrate forming a structure and a test structure having a pattern and a releasing mechanism coupled to the pattern; and monitoring the pattern of the test structure to determine whether the etching process is complete.
    Type: Application
    Filed: October 19, 2010
    Publication date: April 19, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kai-Chih Liang, Wen-Chuan Tai, Chun-Ren Cheng
  • Publication number: 20120094401
    Abstract: A method of inspecting a semiconductor substrate having a back surface and including at least one piece of metal embedded in the substrate comprises directing measuring light towards the back surface of the substrate and detecting a portion of the measuring light received back from the substrate. The method also includes determining a distance between the piece of metal and the back surface based upon the detected measuring light received back from the substrate.
    Type: Application
    Filed: April 18, 2011
    Publication date: April 19, 2012
    Applicants: IMEC, Nanda Technologies GmbH
    Inventors: Lars Markwort, Pierre-Yves Guittet, Sandip Halder, Anne Jourdain
  • Patent number: 8161424
    Abstract: Some embodiments provide a system for accurately and efficiently modeling chemically amplified resist. During operation, the system can determine a quenched acid profile from an initial acid profile by applying multiple quenching models which are associated with different acid concentration ranges to the initial acid profile. One quenching model may be expressed as H=H0?B0, where H is an acid profile after quenching, H0 is an acid profile before quenching, and B0 is an initial base quencher profile. Another quenching model may be expressed as H=k·H0, where k is a constant. Next, the system can apply a smoothing kernel to the quenched acid profile to obtain a quenched-and-diffused acid profile. The smoothing kernel can generally be any weighted averaging function. The quenched-and-diffused acid profile can then be used to predict shapes that are expected to print on the wafer and to perform resolution enhancement techniques on a layout.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: April 17, 2012
    Assignee: Synopsys, Inc.
    Inventor: Yongfa Fan
  • Patent number: 8158017
    Abstract: A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: April 17, 2012
    Assignee: Lam Research Corporation
    Inventor: Eric Hudson
  • Patent number: 8144328
    Abstract: An arrangement for in-situ optical interrogation of plasma emission to quantitatively measure normalized optical emission spectra in a plasma chamber is provided. The arrangement includes a flash lamp and a set of quartz windows. The arrangement also includes a plurality of collimated optical assemblies, which is optically coupled to the set of quartz windows. The arrangement further includes a plurality of fiber optic bundles, which comprises at least an illumination fiber optic bundle, a collection fiber optic bundle, and a reference fiber optic bundle. The arrangement more over includes a multi-channel spectrometer, which is configured with at least a signal channel and a reference channel. The signal channel is optically coupled to at least the flash lamp, the set of quartz windows, the set of collimated optical assemblies, the illuminated fiber optic bundle, and the collection fiber optic bundle to measure a first signal.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: March 27, 2012
    Assignee: Lam Research Corporation
    Inventors: Vijayakumar C. Venugopal, Eric Pape, Jean-Paul Booth
  • Patent number: 8138089
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction minor arrays on the substrate, each diffraction minor array of the set of at least three diffraction minor arrays comprising a single row of minors, all mirrors in any particular diffraction minor array spaced apart a same distance, minors in different diffraction minor arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Axel A. Granados, Benjamin A. Fox, Nathaniel J. Gibbs, Andrew B. Maki, Trevor J. Timpane
  • Patent number: 8133746
    Abstract: A method is provided for fabricating a semiconductor device having implanted source/drain regions and a gate region, the gate region having been masked by the gate hardmask during source/drain implantation, the gate region having a polysilicon gate layered on a metal layered on a high-K dielectric layer. The gate region and the source/drain regions may be covered with a self planarizing spin on film. The film may be blanket etched back to uncover the gate hardmask while maintaining an etched back self planarizing spin on film on the implanted source/drain regions. The gate hardmask may be etched back while the etched back film remains in place to protect the implanted source/drain regions. The gate region may be low energy implanted to lower sheet resistance of the polysilicon layer. The etched back film may be then removed.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: March 13, 2012
    Assignee: International Business Machines Corporation
    Inventors: Sivananda Kanakasabapathy, Hemanth Jagannathan
  • Publication number: 20120052600
    Abstract: A manufacturing method for a semiconductor device, comprising: performing first processing on a plurality of wafers in a first processing order in a first processing apparatus; obtaining a processed amount with respect to each of the plurality of wafers in the first processing; obtaining a processed amount with respect to each of the plurality of wafers by second processing in a second processing apparatus after the first processing; deciding a second processing order, which is different from the first processing order, from the processed amount with respect to each of the plurality of wafers by the first processing and the processed amount with respect to each of the plurality of wafers by the second processing; and performing the second processing on the plurality of wafers in the second processing order in the second processing apparatus.
    Type: Application
    Filed: August 25, 2011
    Publication date: March 1, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masaki Kamimura, Takashi Shimizu, Kunihiro Miyazaki
  • Patent number: 8117744
    Abstract: A method of forming an isolated electrically conductive contact through a metallic substrate includes creating at least one via through the substrate, where the via includes a first opening in a top surface of the substrate, a second opening in an opposing bottom surface and at least one continuous sidewall extending therebetween. A dielectric sleeve is formed on the at least one sidewall of the via while preserving at least a portion of the through via. An electrically conductive filler is then placed into the via. In the examples disclosed, the filler may be a conductive ink or a conductive epoxy.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: February 21, 2012
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Michael Nashner, Jeffrey Howerton
  • Patent number: 8114774
    Abstract: The invention relates to a method of manufacturing a semiconductor device with a substrate and a semiconductor body, whereby in the semiconductor body a semiconductor element is formed by means of a mesa-shaped protrusion of the semiconductor body, which is formed on the surface of the semiconductor device as a nano wire, whereupon a layer of a material is deposited over the semiconductor body and the resulting structure is subsequently planarized in a chemical-mechanical polishing process such that an upper side of the nano wire becomes exposed. According to the invention, a further layer of a further material is deposited over the semiconductor body with the nano wire before the layer of the material is deposited, which further layer is given a thickness smaller than the height of the nano wire, and a material is chosen for the further material such that, viewed in projection, the transition between the layer and the further layer is discernible before the nano wire is reached.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: February 14, 2012
    Assignee: NXP B.V.
    Inventors: Godefridus Adrianus Maria Hurkx, Johannes Josephus Theodorus Marinus Donkers
  • Patent number: 8114685
    Abstract: A method is provided, of manufacturing a material to be etched that can more preferably prevent a region to be etched from remaining as an un-etched region and reduce deviation of etched/un-etched regions. Patterning (a method of manufacturing a material to be etched) of a substrate 100, which is manufactured by performing etching through an opened region 10 by an etching mask M1, is performed by a first etching process and a second etching process that is performed after the first etching process. The second etching process is a process for etching a region including a region that is not etched by the first etching process. An un-etched region, which is the same as etched using a virtual etching mask M1?, is formed on the surface of an object to be etched by the first and second etching processes.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: February 14, 2012
    Assignee: Pioneer Corporation
    Inventors: Tatsuya Yoshizawa, Kenichi Nagayama, Takuya Hatakeyama
  • Publication number: 20120028377
    Abstract: A method of controlling the polishing of a substrate includes polishing a substrate on a first platen using a first set of parameters, obtaining first and second sequences of measured spectra from first and second regions of the substrate with an in-situ optical monitoring system, generating first and second sequences of values from the first and second sequences of measured spectra, fitting first and second linear functions to the first and second sequences of values, determining a difference between the first linear function and the second linear function, adjusting at least one parameter of a second set of parameters based on the difference, and polishing the substrate on a second platen using the adjusted parameter.
    Type: Application
    Filed: October 12, 2011
    Publication date: February 2, 2012
    Inventors: Jeffrey Drue David, Harry Q. Lee, Boguslaw A. Swedek, Dominic J. Benvegnu, Zhize Zhu, Wen-Chiang Tu
  • Patent number: 8105851
    Abstract: Provided is a method of removing a nitride material from a semiconductor wafer. The method includes monitoring a silicon concentration level in a chemical solution. The chemical solution may include a phosphoric acid. The method includes adjusting the silicon concentration level in response to the monitoring. The method includes heating the chemical solution. The method includes applying the heated chemical solution to a wafer surface in a manner so that a temperature of the heated chemical solution is within a predefined temperature range throughout the wafer surface. The method includes etching a nitride material of the wafer using the heated chemical solution.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: January 31, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shao-Yen Ku, Chung-Ru Yang, Chi-Ming Yang
  • Publication number: 20120009690
    Abstract: The present disclosure provides a system for in-situ spectrometry. The system includes a wafer-cleaning machine that cleans a surface of a semiconductor wafer using a cleaning solution. The system also includes a spectrometry machine that is coupled to the wafer-cleaning machine. The spectrometry machine receives a portion of the cleaning solution from the wafer-cleaning machine. The portion of the cleaning solution collects particles from the wafer during the cleaning. The spectrometry machine is operable to analyze a particle composition of a portion of the wafer based on the portion of the cleaning solution, while the wafer remains in the wafer-cleaning machine during the particle composition analysis.
    Type: Application
    Filed: July 12, 2010
    Publication date: January 12, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Clement Hsingjen Wann, Hung-Ming Chen, Chang-Yun Chang, Sey-Ping Sun
  • Publication number: 20120003759
    Abstract: Embodiments described herein relate to methods of detecting an endpoint for a target substrate during chemical mechanical polishing process. In one embodiment, the method includes polishing one or more target substrates at a first film removal rate to provide reference spectra, polishing one or more target substrates at a second film removal rate to provide current spectra of the one or more target substrates, wherein the second film removal rate is different from the first film removal rate, identifying an interface transition between different layers formed on the one or more target substrates using a sequence of endpoint values obtained based on the reference spectra collected during polishing of the one or more reference substrates, and comparing each current spectrum obtained from current spectra of the one or more target substrates to the reference spectra to obtain the sequence of endpoint values.
    Type: Application
    Filed: June 17, 2011
    Publication date: January 5, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Xiaoyuan Hu, Zhihong Wang, Wen-Chiang Tu
  • Patent number: 8088298
    Abstract: Methods and apparatus for spectrum-based endpointing. An endpointing method includes selecting two or more reference spectra. Each reference spectrum is a spectrum of white light reflected from a film of interest on a first substrate and has a thickness greater than a target thickness. The reference spectra is selected for particular spectra-based endpoint determination logic so that the target thickness is achieved when endpoint is called by applying the particular spectra-based endpoint logic. The method includes obtaining two or more current spectra. Each current spectrum is a spectrum of white light reflected from a film of interest on a second substrate when the film of interest is being subjected to a polishing step and has a current thickness that is greater than the target thickness. The method includes determining, for the second substrate, when an endpoint of the polishing step has been achieved.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: January 3, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Boguslaw A. Swedek, Dominic J. Benvegnu, Jeffrey D. David
  • Patent number: 8088293
    Abstract: The invention includes methods of forming reticles configured for imprint lithography, methods of forming capacitor container openings, and methods in which capacitor container openings are incorporated into DRAM arrays. An exemplary method of forming a reticle includes formation of a radiation-imageable layer over a material. A lattice pattern is then formed within the radiation-imageable layer, with the lattice pattern defining a plurality of islands of the radiation-imageable layer. The lattice-patterned radiation-imageable layer is utilized as a mask while subjecting the material under the lattice-patterned layer to an etch which transfers the lattice pattern into the material. The etch forms a plurality of pillars which extend only partially into the material, with the pillars being spaced from one another by gaps. The gaps are subsequently narrowed with a second material which only partially fills the gaps.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: January 3, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Publication number: 20110318849
    Abstract: The semiconductor device of the present invention includes a first insulating film on a substrate having a first region and a second region, a light shielding film formed in the first region and an interconnect film formed in the second region in the first insulating film and a second insulating film having a first concave portion above the light shielding film in the first region and an interconnect hole having a via hole and a second concave portion in the second region in the second insulating film on the first insulating film, wherein an area of the light shielding film is overlapping an area of the first plurality of concave portions.
    Type: Application
    Filed: September 2, 2011
    Publication date: December 29, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Hidetaka NAMBU
  • Patent number: 8071397
    Abstract: A semiconductor fabricating method including: placing the semiconductor wafer having a film thereon inside of a chamber; generating plasma; detecting a quantity of interference lights for each of at least two wavelengths obtained from a surface of the wafer for a predetermined time period during the etching of the wafer; detecting a first time point at which the detected quantity of interference lights for one of the two wavelengths becomes a maximum and a second time point at which the detected quantity of interference lights for the other wavelength becomes a minimum; determining a state of etching based on a result of comparing a predetermined value with an interval between the first and second time points, wherein both time points are detected by using outputs of a detector for detecting a quantity of the interference lights; and controlling etching in accordance with the determining.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: December 6, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Motohiko Yoshigai, Kazuhiro Jyouo, Tetsuo Ono
  • Publication number: 20110275168
    Abstract: A one-step CMP process for polishing three or more layer film stacks on a wafer having a multilayer film stack thereon including a silicon nitride (SiNx) layer on its semiconductor surface, and a silicon oxide layer on the SiNx layer, wherein trench access vias extend through the silicon oxide layer and SiNx layer to trenches formed into the semiconductor surface, and wherein a polysilicon layer fills the trench access vias, fills the trenches, and is on the silicon oxide layer. CMP polishes the multilayer film stack with a slurry including slurry particles including at least one of silica and ceria. The CMP provides a removal rate (RR) for the polysilicon layer>a RR for the silicon oxide layer>a RR for the SiNx layer. The CMP process is continued to remove the polysilicon layer, silicon oxide layer and a portion of the SiNx layer to stop on the SiNx layer. Optical endpointing during CMP can provide a predetermined remaining thickness range for the SiNx layer.
    Type: Application
    Filed: May 7, 2010
    Publication date: November 10, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Eugene C. Davis, Binghua Hu, Sopa Chevacharoenkul, Prakash D. Dev
  • Publication number: 20110256644
    Abstract: Masks for microlithography apparatus, methods for making such masks, and methods for exposing photosensitive materials to form arrays of microfeatures on semiconductor wafers using such masks. In one embodiment, a method of making a mask comprises forming a mask layer on a substrate and identifying a first opening in the mask layer corresponding to a first feature site at which an intensity of the radiation at a focal zone is less than the intensity of the radiation at the focal zone for a second feature site corresponding to a second opening in the mask. The second opening is adjacent or at least proximate the first opening. The method can further include forming a first surface at the first opening and a second surface at the second opening such that radiation passing through the second opening constructively interferes with radiation passing through the first opening at the focal zone.
    Type: Application
    Filed: June 23, 2011
    Publication date: October 20, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Byron N. Burgess, William A. Stanton, Zhong Shi
  • Patent number: 8039092
    Abstract: A main object of the present invention is to provide a pattern formed body capable of forming highly precise functional parts on various base materials, and a method for manufacturing the same. To achieve the object, the present invention provides a method for manufacturing a pattern formed body, having a plasma radiating step of radiating plasma to a patterning substrate having: a base material; an intermediate layer formed on the base material and containing a silane coupling agent or a polymer of the silane coupling agent; and a resin layer formed in a pattern form on the intermediate layer, wherein a fluorine gas is used as an introduction gas to radiate the plasma from the resin layer side.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 18, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Hironori Kobayashi
  • Patent number: 8021563
    Abstract: A method for determining the depth etch, a method of forming a shielded gate trench (SGT) structure and a semiconductor device wafer are disclosed. A material layer is formed over part of a substrate having a trench. The material fills the trench. A resist mask is placed over a test portion of the layer of material. The resist mask does not cover the trench. The layer of material is isotropically etched. An etch depth may be determined from a characteristic of etching of the material underneath the mask. Such a method may be used for forming SGT structures. The wafer may comprise a layer of material disposed on at least a portion of a surface of semiconductor wafer; a resist mask comprising an angle-shaped test portion disposed over a portion of the layer of material; and a ruler marking on the surface of the substrate proximate the test portion.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: September 20, 2011
    Assignee: Alpha & Omega Semiconductor, Ltd
    Inventors: Yingying Lou, Tiesheng Li, Yu Wang, Anup Bhalla
  • Patent number: 8019458
    Abstract: The invention provides a method of processing a wafer using multilayer processing sequences and Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models and libraries that can include one or more measurement procedures, one or more Poly-Etch (P-E) sequences, and one or more metal-gate etch sequences. The MLMIMO process control uses dynamically interacting behavioral modeling between multiple layers and/or multiple process steps. The multiple layers and/or the multiple process steps can be associated with the creation of lines, trenches, vias, spacers, contacts, and gate structures that can be created using isotropic and/or anisotropic etch processes.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Merritt Funk, Radha Sundararajan, Asao Yamashita, Daniel Prager, Hyung Joo Lee
  • Publication number: 20110212548
    Abstract: A method is provided for fabricating a semiconductor device having implanted source/drain regions and a gate region, the gate region having been masked by the gate hardmask during source/drain implantation, the gate region having a polysilicon gate layered on a metal layered on a high-K dielectric layer. The gate region and the source/drain regions may be covered with a self planarizing spin on film. The film may be blanket etched back to uncover the gate hardmask while maintaining an etched back self planarizing spin on film on the implanted source/drain regions. The gate hardmask may be etched back while the etched back film remains in place to protect the implanted source/drain regions. The gate region may be low energy implanted to lower sheet resistance of the polysilicon layer. The etched back film may be then removed.
    Type: Application
    Filed: March 1, 2010
    Publication date: September 1, 2011
    Inventors: SIVANANDA KANAKASABAPATHY, HEMANTH JAGANNATHAN
  • Publication number: 20110195528
    Abstract: A computer-implemented method for process control in chemical mechanical polishing in which an initial pre-polishing thickness of a substrate is measured at a metrology station, a parameter of an endpoint algorithm is determined from the initial thickness of the substrate, a substrates is polished at a polishing station, and polishing stops when an endpoint criterion is detected using the endpoint algorithm.
    Type: Application
    Filed: April 18, 2011
    Publication date: August 11, 2011
    Inventors: Boguslaw A. Swedek, Bret W. Adams, Sanjay Rajaram, David A. Chan, Manoocher Birang
  • Patent number: 7993936
    Abstract: By evaluating a status signal on the basis of a fault detection classification mechanism in an electrochemical etch tool, a corresponding failure status of the tool may be obtained for each single substrate, thereby significantly reducing the risk of significant yield loss compared to conventional strategies. The fault detection and classification mechanism may be advantageously applied to the electrochemical removal of underbump metallization layers during the formation of solder bump structures.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: August 9, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kerstin Siury, Niels Rackwitz, Joern Schnapke, Frank Kuechenmeister
  • Patent number: 7989968
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction mirror arrays on the substrate, each diffraction mirror array of the set of at least three diffraction mirror arrays comprising a single row of mirrors, all mirrors in any particular diffraction mirror array spaced apart a same distance, mirrors in different diffraction mirror arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: August 2, 2011
    Assignee: International Business Machines Corporation
    Inventors: Axel A. Granados, Benjamin A. Fox, Nathaniel J. Gibbs, Andrew B. Maki, Trevor J. Timpane
  • Publication number: 20110183444
    Abstract: The invention relates to a method for electron beam induced etching of a material (100, 200) with the method steps providing at least one etching gas at a position of the material (100, 200) at which an electron beam impacts on the material (100, 200) and simultaneously providing at least one passivation gas which is adapted for slowing down or inhibiting a spontaneous etching by the at least one etching gas
    Type: Application
    Filed: August 13, 2009
    Publication date: July 28, 2011
    Applicant: CARL ZEISS SMS GMBH
    Inventors: Nicole Auth, Petra Spies, Rainer Becker, Thorsten Hofmann, Klaus Edinger
  • Patent number: 7981700
    Abstract: A semiconductor oxidation apparatus is provided with a sealable oxidation chamber defined by walls, a base provided within the oxidation chamber and configured to support a semiconductor sample, a supply part configured to supply water vapor into the oxidation chamber to oxidize a specific portion of the semiconductor sample, a monitoring window provided in one of the walls of the oxidation chamber and disposed at a position capable of confronting the semiconductor sample supported on the base, a monitoring part provided outside the oxidation chamber and capable of confronting the semiconductor sample supported on the base via the monitoring window, and an adjusting part configured to adjust a distance between the base and the monitoring part.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: July 19, 2011
    Assignee: Ricoh Company, Ltd.
    Inventors: Shunichi Sato, Naoto Jikutani, Akihiro Itoh, Shinya Umemoto, Yoshiaki Zenno, Takatoshi Yamamoto