Plasma Etching Patents (Class 438/9)
  • Patent number: 8158484
    Abstract: A method of forming an inverted T shaped channel structure having a vertical channel portion and a horizontal channel portion for an Inverted T channel Field Effect Transistor ITFET device comprises providing a semiconductor substrate, providing a first layer of a first semiconductor material over the semiconductor substrate, and providing a second layer of a second semiconductor material over the first layer. The first and the second semiconductor materials are selected such that the first semiconductor material has a rate of removal which is less than a rate of removal of the second semiconductor material. The method further comprises removing a portion of the first layer and a portion of the second layer selectively according to the different rates of removal so as to provide a lateral layer and the vertical channel portion of the inverted T shaped channel structure and removing a portion of the lateral layer so as to provide the horizontal channel portion of the inverted T shaped channel structure.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: April 17, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius Orlowski, Andreas Wild
  • Patent number: 8158017
    Abstract: A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: April 17, 2012
    Assignee: Lam Research Corporation
    Inventor: Eric Hudson
  • Patent number: 8158445
    Abstract: Methods of forming pattern structures and methods of manufacturing memory devices using the same are provided, the methods of forming pattern structures include forming an etching object layer on a substrate and performing a plasma reactive etching process on the etching object layer using an etching gas including at least ammonia (NH3) gas. The etching object layer includes a magnetic material or a phase change material.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: April 17, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hwan Ryu, Jae-Seung Hwang, Sung-Un Kwon, Kyoung-Ha Eom
  • Patent number: 8144328
    Abstract: An arrangement for in-situ optical interrogation of plasma emission to quantitatively measure normalized optical emission spectra in a plasma chamber is provided. The arrangement includes a flash lamp and a set of quartz windows. The arrangement also includes a plurality of collimated optical assemblies, which is optically coupled to the set of quartz windows. The arrangement further includes a plurality of fiber optic bundles, which comprises at least an illumination fiber optic bundle, a collection fiber optic bundle, and a reference fiber optic bundle. The arrangement more over includes a multi-channel spectrometer, which is configured with at least a signal channel and a reference channel. The signal channel is optically coupled to at least the flash lamp, the set of quartz windows, the set of collimated optical assemblies, the illuminated fiber optic bundle, and the collection fiber optic bundle to measure a first signal.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: March 27, 2012
    Assignee: Lam Research Corporation
    Inventors: Vijayakumar C. Venugopal, Eric Pape, Jean-Paul Booth
  • Patent number: 8138089
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction minor arrays on the substrate, each diffraction minor array of the set of at least three diffraction minor arrays comprising a single row of minors, all mirrors in any particular diffraction minor array spaced apart a same distance, minors in different diffraction minor arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Axel A. Granados, Benjamin A. Fox, Nathaniel J. Gibbs, Andrew B. Maki, Trevor J. Timpane
  • Patent number: 8129283
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: March 6, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahito Mori, Naoyuki Kofuji, Naoshi Itabashi
  • Patent number: 8124544
    Abstract: It is an object of the present invention to provide a semiconductor device including a wiring having a preferable shape. A manufacturing method includes the steps of forming a first conductive layer connected to an element and a second conductive layer thereover; forming a resist mask over the second conductive layer; processing the second conductive layer by dry etching with the use of the mask; and processing the first conductive layer by wet etching with the mask left, wherein the etching rate of the second conductive layer is higher than that of the first conductive layer in the dry etching, and wherein the etching rate of the second conductive layer is the same as or more than that of the first conductive layer in the wet etching.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: February 28, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Satoru Okamoto, Teruyuki Fujii, Hideto Ohnuma, Akihiro Ishizuka
  • Patent number: 8088293
    Abstract: The invention includes methods of forming reticles configured for imprint lithography, methods of forming capacitor container openings, and methods in which capacitor container openings are incorporated into DRAM arrays. An exemplary method of forming a reticle includes formation of a radiation-imageable layer over a material. A lattice pattern is then formed within the radiation-imageable layer, with the lattice pattern defining a plurality of islands of the radiation-imageable layer. The lattice-patterned radiation-imageable layer is utilized as a mask while subjecting the material under the lattice-patterned layer to an etch which transfers the lattice pattern into the material. The etch forms a plurality of pillars which extend only partially into the material, with the pillars being spaced from one another by gaps. The gaps are subsequently narrowed with a second material which only partially fills the gaps.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: January 3, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 8083960
    Abstract: A microscopic change in a luminous intensity occurring near an etching endpoint is accurately detected, whereby the endpoint of etching is quickly determined. An etching endpoint determination method for determining an endpoint of etching processing in a plasma etching apparatus that introduces a processing gas into a vacuum chamber, produces plasma by feeding high-frequency energy to a introduced processing gas, and uses the produced plasma to perform plasma processing on a workpiece stored in the chamber includes: a step of sampling light of a pre-set wavelength from light emitted by the plasma produced in the vacuum chamber, acquiring as time-sequential data the luminous intensity of the sampled light of the specific wavelength, and computing a regression line on the basis of the acquired time-sequential data; and a step of computing distances in a time-base direction between the regression line and the time-sequential data which are obtained at the first step.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: December 27, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroshige Uchida, Daisuke Shiraishi, Shoji Ikuhara, Akira Kagoshima
  • Patent number: 8080479
    Abstract: A method of processing a workpiece in a plasma reactor chamber includes coupling RF power via an electrode to plasma in the chamber, the RF power being of a variable frequency in a frequency range that includes a fundamental frequency f. The method also includes coupling the electrode to a resonator having a resonant VHF frequency F which is a harmonic of the fundamental frequency f, so as to produce VHF power at the harmonic. The method controls the ratio of power near the fundamental f to power at harmonic F, by controlling the proportion of power from the generator that is up-converted from f to F, so as to control plasma ion density distribution.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: December 20, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Douglas A. Buchberger, Jr., Shahid Rauf, Kallol Bera, Lawrence Wong, Walter R. Merry, Matthew L. Miller, Steven C. Shannon, Andrew Nguyen, James P. Cruse, James Carducci, Troy S. Detrick, Subhash Deshmukh, Jennifer Y. Sun
  • Patent number: 8076247
    Abstract: A method is provided for processing a workpiece in a plasma reactor chamber. The method includes coupling, to a plasma in the chamber, power of an RF frequency via a ceiling electrode and coupling, to the plasma, power of at least approximately the same RF frequency via a workpiece support electrode. The method also includes providing an edge ground return path. The method further includes adjusting the proportion between (a) current flow between said electrodes and (b) current flow to the edge ground return path from said electrodes, to control plasma ion density distribution uniformity over the workpiece.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Douglas A. Buchberger, Jr., Shahid Rauf, Kallol Bera, Lawrence Wong, Walter R. Merry, Matthew L. Miller, Steven C. Shannon, Andrew Nguyen, James P. Cruse, James Carducci, Troy S. Detrick, Subhash Deshmukh, Jennifer Y. Sun
  • Patent number: 8071397
    Abstract: A semiconductor fabricating method including: placing the semiconductor wafer having a film thereon inside of a chamber; generating plasma; detecting a quantity of interference lights for each of at least two wavelengths obtained from a surface of the wafer for a predetermined time period during the etching of the wafer; detecting a first time point at which the detected quantity of interference lights for one of the two wavelengths becomes a maximum and a second time point at which the detected quantity of interference lights for the other wavelength becomes a minimum; determining a state of etching based on a result of comparing a predetermined value with an interval between the first and second time points, wherein both time points are detected by using outputs of a detector for detecting a quantity of the interference lights; and controlling etching in accordance with the determining.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: December 6, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Motohiko Yoshigai, Kazuhiro Jyouo, Tetsuo Ono
  • Patent number: 8062957
    Abstract: The invention relates to a method for preparing a surface of a semiconductor substrate by oxidizing the surface of the semiconductor substrate to thereby transform the natural oxide into an artificial oxide and then removing the artificial oxide, in particular to obtain an oxide-free substrate surface.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: November 22, 2011
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Radouane Khalid
  • Patent number: 8053376
    Abstract: In a method of making a polymer structure on a substrate a layer of a first polymer, having a horizontal top surface, is applied to a surface of the substrate. An area of the top surface of the polymer is manipulated to create an uneven feature that is plasma etched to remove a first portion from the layer of the first polymer thereby leaving the polymer structure extending therefrom. A light emitting structure includes a conductive substrate from which an elongated nanostructure of a first polymer extends. A second polymer coating is disposed about the nanostructure and includes a second polymer, which includes a material such that a band gap exists between the second polymer coating and the elongated nanostructure. A conductive material coats the second polymer coating. The light emitting structure emits light when a voltage is applied between the conductive substrate and the conductive coating.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: November 8, 2011
    Assignee: Georgia Tech Research Corporation
    Inventors: Zhong L. Wang, Xudong Wang, Jenny R. Morber, Jin Liu
  • Publication number: 20110269252
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Application
    Filed: July 11, 2011
    Publication date: November 3, 2011
    Applicant: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej Sandhu, Neal R. Rueger
  • Patent number: 8048753
    Abstract: Shallow trench isolation silicon-on-insulator (SOI) devices are formed with improved charge protection. Embodiments include an SOI film diode and a P+ substrate junction as a charging protection device. Embodiments also include a conductive path from the SOI transistor drain, through a conductive contact, a metal line, a second conductive contact, an SOI diode, isolated from the transistor, a third conductive contact, a second conductive line, and a fourth conductive contact to a P+-doped substrate contact in the bulk silicon layer of the SOI substrate.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: November 1, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Jingrong Zhou, David Wu, James F. Buller
  • Patent number: 8039092
    Abstract: A main object of the present invention is to provide a pattern formed body capable of forming highly precise functional parts on various base materials, and a method for manufacturing the same. To achieve the object, the present invention provides a method for manufacturing a pattern formed body, having a plasma radiating step of radiating plasma to a patterning substrate having: a base material; an intermediate layer formed on the base material and containing a silane coupling agent or a polymer of the silane coupling agent; and a resin layer formed in a pattern form on the intermediate layer, wherein a fluorine gas is used as an introduction gas to radiate the plasma from the resin layer side.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 18, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Hironori Kobayashi
  • Patent number: 8026180
    Abstract: Methods for reducing line roughness of spacers and other features utilizing a non-plasma and non-wet etch fluoride processing technology are provided. Embodiments of the methods can be used for spacer or line reduction and/or smoothing the surfaces along the edges of such features through the reaction and subsequent removal of material.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: September 27, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Joseph Neil Greeley, Paul Morgan, Mark Kiehlbauch
  • Patent number: 8019458
    Abstract: The invention provides a method of processing a wafer using multilayer processing sequences and Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models and libraries that can include one or more measurement procedures, one or more Poly-Etch (P-E) sequences, and one or more metal-gate etch sequences. The MLMIMO process control uses dynamically interacting behavioral modeling between multiple layers and/or multiple process steps. The multiple layers and/or the multiple process steps can be associated with the creation of lines, trenches, vias, spacers, contacts, and gate structures that can be created using isotropic and/or anisotropic etch processes.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Merritt Funk, Radha Sundararajan, Asao Yamashita, Daniel Prager, Hyung Joo Lee
  • Publication number: 20110207245
    Abstract: A stage onto which is electrostatically attracted a substrate to be processed in a substrate processing apparatus, which enables the semiconductor device yield to be improved. A temperature measuring apparatus 200 measures a temperature of the substrate to be processed. A temperature control unit 400 carries out temperature adjustment on the substrate to be processed such as to become equal to a target temperature based on a preset parameter. A temperature control unit 400 controls the temperature of the substrate to be processed by controlling the temperature adjustment by the temperature control unit 400 based on a measured temperature measured by the temperature measuring apparatus 200.
    Type: Application
    Filed: April 29, 2011
    Publication date: August 25, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio KOSHIMIZU, Tomohiro Suzuki
  • Publication number: 20110177625
    Abstract: Embodiments of the present invention relate to the analysis of the components of one or more gases, for example a gas mixture sampled from a semiconductor manufacturing process such as plasma etching or plasma enhanced chemical vapor deposition (PECVD). Particular embodiments provide sufficient power to a plasma of the sample, to dissociate a large number of the molecules and molecular fragments into individual atoms. With sufficient power (typically a power density of between 3-40 W/cm3) delivered into the plasma, most of the emission peaks result from emission of individual atoms, thereby creating spectra conducive to simplifying the identification of the chemical composition of the gases under investigation. Such accurate identification of components of the gas may allow for the precise determination of the stage of the process being performed, and in particular for detection of process endpoint.
    Type: Application
    Filed: March 30, 2011
    Publication date: July 21, 2011
    Inventors: Joseph R. Monkowski, Barton Lane
  • Patent number: 7981700
    Abstract: A semiconductor oxidation apparatus is provided with a sealable oxidation chamber defined by walls, a base provided within the oxidation chamber and configured to support a semiconductor sample, a supply part configured to supply water vapor into the oxidation chamber to oxidize a specific portion of the semiconductor sample, a monitoring window provided in one of the walls of the oxidation chamber and disposed at a position capable of confronting the semiconductor sample supported on the base, a monitoring part provided outside the oxidation chamber and capable of confronting the semiconductor sample supported on the base via the monitoring window, and an adjusting part configured to adjust a distance between the base and the monitoring part.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: July 19, 2011
    Assignee: Ricoh Company, Ltd.
    Inventors: Shunichi Sato, Naoto Jikutani, Akihiro Itoh, Shinya Umemoto, Yoshiaki Zenno, Takatoshi Yamamoto
  • Patent number: 7981812
    Abstract: Methods for forming an ultra thin structure using a method that includes multiple cycles of polymer deposition of photoresist (PDP) process and etching process. The embodiments described herein may be advantageously utilized to fabricate a submicron structure on a substrate having a critical dimension less than 55 nm and beyond. In one embodiment, a method of forming a submicron structure on a substrate may include providing a substrate having a patterned photoresist layer disposed on a film stack into an etch chamber, wherein the film stack includes at least a hardmask layer disposed on a dielectric layer, performing a polymer deposition process to deposit a polymer layer on the pattered photoresist layer, thus reducing a critical dimension of an opening in the patterned photoresist layer, and etching the underlying hardmask layer through the opening having the reduced dimension.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: July 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kang-Lie Chiang, Chia-Ling Kao
  • Patent number: 7968469
    Abstract: A method for processing a workpiece in a plasma reactor chamber includes coupling RF power at a first VHF frequency f1 to a plasma via one of the electrodes of the chamber, and providing a center ground return path for RF current passing directly between the ceiling electrode and the workpiece support electrode for the frequency f1. The method further includes providing a variable height edge ground annular element and providing a ground return path through the edge ground annular element for the frequency f1. The method controls the uniformity of plasma ion density distribution by controlling the distance between the variable height edge ground annular element and one of: (a) height of ceiling electrode or (b) height of workpiece support electrode.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Douglas A. Buchberger, Jr., Shahid Rauf, Kallol Bera, Lawrence Wong, Walter R. Merry, Matthew L. Miller, Steven C. Shannon, Andrew Nguyen, James P. Cruse, James Carducci, Troy S. Detrick, Subhash Deshmukh, Jennifer Y. Sun
  • Publication number: 20110143462
    Abstract: A plasma etching system having a substrate support assembly with multiple independently controllable heater zones. The plasma etching system is configured to control etching temperature of predetermined locations so that pre-etch and/or post-etch non-uniformity of critical device parameters can be compensated for.
    Type: Application
    Filed: December 13, 2010
    Publication date: June 16, 2011
    Applicant: Lam Research Corporation
    Inventors: Keith William Gaff, Harmeet Singh, Keith Comendant, Vahid Vahedi
  • Patent number: 7935549
    Abstract: The present invention provides a signal transmitting/receiving method comprising: disposing a ferromagnetic film between a semiconductor device having an inductor and an external device which includes an external inductor provided in a position corresponding to the inductor of the semiconductor device; disposing the inductor and the external inductor so as to face each other via the ferromagnetic film therebetween; and in a state in which the inductor and the external inductor face each other, transmitting and receiving the signals between the inductor and the external inductor by electromagnetic induction.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: May 3, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masayuki Furumiya, Yasutaka Nakashiba
  • Patent number: 7927892
    Abstract: A thermal treatment apparatus having a first light source emitting a first light having light diffusion property, a reflectance measuring unit irradiating a treatment target with the light from plural directions by the first light source and determining a light reflectance of the treatment target, a light irradiation controller adjusting an intensity of a second light of a second light source on the basis of the light reflectance, the second light has diffusion property, and a thermal treatment unit irradiating the treatment target with the second light having adjusted the intensity of the second light by the light irradiation controller.
    Type: Grant
    Filed: October 6, 2008
    Date of Patent: April 19, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tomohiro Kubo
  • Patent number: 7927972
    Abstract: Even if an oxygen ion implanted layer in a wafer for active layer is not a completely continuous SiO2 layer but a layer mixed partially with Si or SiOx, it is removed by here is provided a method for producing a bonded wafer in which it is possible to remove an oxygen ion implanted layer effectively as it is by repetitive treatment with an oxidizing solution and HF solution at a step of removing the oxygen ion implanted layer in a bonded wafer.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: April 19, 2011
    Assignee: Sumco Corporation
    Inventors: Akihiko Endo, Tatsumi Kusaba
  • Patent number: 7910479
    Abstract: A method for manufacturing a photodiode array includes providing a semiconductor substrate having first and second main surfaces opposite to each other. The semiconductor substrate has a first layer of a first conductivity proximate the first main surface and a second layer of a second conductivity proximate the second main surface. A via is formed in the substrate which extends to a first depth position relative to the first main surface. The via has a first aspect ratio. Generally simultaneously with forming the via, an isolation trench is formed in the substrate spaced apart from the via which extends to a second depth position relative to the first main surface. The isolation trench has a second aspect ratio different from the first aspect ratio.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: March 22, 2011
    Assignee: Icemos Technology Ltd.
    Inventors: Robin Wilson, Conor Brogan, Hugh J. Griffin, Cormac MacNamara
  • Patent number: 7901952
    Abstract: The invention concerns a method of processing a wafer in a plasma reactor chamber by controlling plural chamber parameters in accordance with desired values of plural plasma parameters. The method includes concurrently translating a set of M desired values for M plasma parameters to a set of N values for respective N chamber parameters. The M plasma parameters are selected from a group including wafer voltage, ion density, etch rate, wafer current, etch selectivity, ion energy and ion mass. The N chamber parameters are selected from a group including source power, bias power, chamber pressure, inner magnet coil current, outer magnet coil current, inner zone gas flow rate, outer zone gas flow rate, inner zone gas composition, outer zone gas composition. The method further includes setting the N chamber parameters to the set of N values.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: March 8, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7899627
    Abstract: In a plasma processing system, a method for dynamically establishing a baseline is provided. The method includes processing a first substrate. The method also includes collecting a first signal data for the first substrate. The method further includes comparing the first signal data against the baseline. The method moreover includes including the first signal data in a recalculation of the baseline if the first signal data is within a confidence level range, which is in between a top level above the baseline and a bottom level below the baseline.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: March 1, 2011
    Assignee: Lam Research Corporation
    Inventors: Chung-Ho Huang, Jackie Seto, Nicolas Bright
  • Patent number: 7897416
    Abstract: The present invention relates to methods and apparatus for providing composition control to thin compound semiconductor films for radiation detector and photovoltaic applications. In one aspect of the invention, there is provided a method in which the molar ratio of the elements in a plurality of layers are detected so that tuning of the multi-element layer can occur to obtain the multi-element layer that has a predetermined molar ratio range. In another aspect of the invention, there is provided a method in which the thickness of a sub-layer and layers thereover of Cu, In and/or Ga are detected and tuned in order to provide tuned thicknesses that are substantially the same as pre-determined thicknesses.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: March 1, 2011
    Assignee: SoloPower, Inc.
    Inventors: Bulent M. Basol, Serdar Aksu
  • Publication number: 20110039355
    Abstract: The invention can provide apparatus and methods of processing a substrate using plasma generation by gravity-induced gas-diffusion separation techniques. By adding or using gases including inert and process gases with different gravities (i.e., ratio between the molecular weight of a gaseous constituent and a reference molecular weight), a two-zone or multiple-zone plasma can be formed, in which one kind of gas can be highly constrained near a plasma generation region and another kind of gas can be largely separated from the aforementioned gas due to differential gravity induced diffusion and is constrained more closer to a wafer process region than the aforementioned gas.
    Type: Application
    Filed: August 10, 2010
    Publication date: February 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihisa Nozawa
  • Patent number: 7879732
    Abstract: A method for etching a thin film and fabricating a semiconductor device includes etching the thin film on a substrate, while monitoring the removal of an endpoint detection layer remotely located from the substrate, such that precise control of the thin film etching is provided by monitoring the removal of the endpoint detection layer. The endpoint detection layer is formed on a surface of an etching apparatus that is exposed to the same etching conditions as the thin film to be etched. The etching of the thin film is stopped when a predetermined amount of the endpoint detection layer has removed from the surface of the etching apparatus.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: February 1, 2011
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Xiang Hu, Hai Cong, Pradeep Yelehanka, Mei Sheng Zhou
  • Patent number: 7877161
    Abstract: A processing system and method for chemical oxide removal (COR) is presented, wherein the processing system comprises a first treatment chamber and a second treatment chamber, wherein the first and second treatment chambers are coupled to one another. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate is exposed to a gaseous chemistry, such as HF/NH3, under controlled conditions including surface temperature and gas pressure. The second treatment chamber comprises a heat treatment chamber that provides a temperature controlled chamber, thermally insulated from the chemical treatment chamber. The heat treatment chamber provides a substrate holder for controlling the temperature of the substrate to thermally process the chemically treated surfaces on the substrate.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: January 25, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Tomoyasu, Merritt Lane Funk, Kevin Augustine Pinto, Masaya Odagiri, Lemuel Chen, Asao Yamashita, Akira Iwami, Hiroyuki Takahashi
  • Patent number: 7871830
    Abstract: A method for controlling the plasma etching of semiconductor wafers determines the impedance of a plasma chamber using values representing voltage, current, and the phase angle between them, as provided by a sensor. All or less than all of the data during a first time period may be used to calculate a model. During a second time period, real time data is used to calculate a version of the instant impedance of the chamber. This version of impendence is compared to a time-projected version of the model. The method determines that etching should be stopped when the received data deviates from the extrapolated model by a certain amount. In some embodiments a rolling average is used in the second time period, the rolling average compared to the model to determine the end point condition.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: January 18, 2011
    Assignee: Pivotal Systems Corporation
    Inventors: Sumer S. Johal, Barton Lane, Georges J. Gorin, Sylvia G. J. P. Spruytte, Herve C. Kieffel
  • Patent number: 7871829
    Abstract: A metal wiring forming method in a semiconductor device can include forming an interlayer insulating film on a lower metal wiring, the first interlayer insulating film having a non-planar upper surface; forming a stop layer on the interlayer insulating film and over the lower metal wiring; forming an interlayer insulating film pattern on the stop layer, wherein an upper surface of the interlayer insulating film pattern and an upper surface of the stop layer are substantially coplanar; removing a portion of the stop layer to form a stop layer pattern, wherein a portion of the interlayer insulating film over the lower metal wiring is exposed by the stop layer pattern; and etching the exposed portion of the interlayer insulating film to form a via hole therethrough, wherein the lower metal wiring is exposed by the via hole.
    Type: Grant
    Filed: January 2, 2007
    Date of Patent: January 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyung-Soon Jang
  • Publication number: 20110001219
    Abstract: The present invention is a silicon single crystal wafer grown by the Czochralski method, the silicon single crystal wafer in which an wafer entire plane is an N region located outside OSFs which are generated in the form of a ring when thermal oxidation treatment is performed and contains no defect region detected by the RIE process. As a result, a silicon single crystal wafer which belongs to none of a vacancy-rich V region, an OSF region, a Dn region in an Nv region, the Dn region in which a defect detected by the Cu deposition process is generated, and an interstitial silicon-rich I region and can improve the TDDB characteristic which is the time dependent breakdown characteristic of an oxide film more reliably than a known silicon single crystal wafer is provided, and the silicon single crystal wafer is provided under stable production conditions.
    Type: Application
    Filed: February 19, 2009
    Publication date: January 6, 2011
    Applicant: Shin-Etsu Handotai Co., Ltd.
    Inventors: Koji Ebara, Shizuo Igawa, Tetsuya Oka
  • Patent number: 7848898
    Abstract: Methods for monitoring process drift using plasma characteristics are provided. In one embodiment, a method for monitoring process drift using plasma characteristics includes obtaining metrics of current and voltage information of a first waveform coupled to a plasma during a plasma process formed on a substrate, obtaining metrics of current and voltage information of a second waveform coupled to the plasma during the plasma process formed on the substrate, the first and second waveforms having different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform, and adjusting the plasma process in response to the determined at least one characteristic of the plasma.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: December 7, 2010
    Assignee: Applied Materials Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Patent number: 7844857
    Abstract: A writing data processing control apparatus includes an assignment part configured to assign processing of a plurality of pieces of writing data of predetermined divided writing regions, stored in a storage device, one by one to one of a plurality of processing apparatuses in which processing is performed in parallel, and a separation part configured, when a processing error occurred as a result of processing of writing data read from the storage device by a first processing apparatus assigned, to separate the first processing apparatus in which the processing error occurred from assigning targets of subsequent writing data processing, wherein the assignment part reassigns the processing of the writing data in which the processing error occurred to a second processing apparatus being different from the first processing apparatus.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: November 30, 2010
    Assignee: NuFlare Technology, Inc.
    Inventors: Yusuke Sakai, Tomoyuki Horiuchi
  • Patent number: 7833887
    Abstract: A method of forming a notched-base spacer profile for non-planar transistors includes providing a semiconductor fin having a channel region on a substrate and forming a gate electrode adjacent to sidewalls of the channel region and on a top surface of the channel region, the gate electrode having on a top surface a hard mask. a spacer layer is deposited over the gate and the fin using a enhanced chemical vapor deposition (PE-CVD) process. A multi-etch process is applied to the spacer layer to form a pair of notches on laterally opposite sides of the gate electrode, wherein each notch is located adjacent to sidewalls of the fin and on the top surface of the fin.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: November 16, 2010
    Assignee: Intel Corporation
    Inventors: Willy Rachmady, Jack Kavalieros
  • Patent number: 7824931
    Abstract: In a substrate processing control method, a first process acquires a first-reflectance-spectrum of a beam reflected from the first-fine-structure and a second-reflectance-spectrum of a beam reflected from the second-fine-structure for each of varying-pattern-dimensions of the first-fine-structure when the pattern-dimension of the first-fine-structure is varied. A second process acquires reference-spectrum-data for each of the varying-pattern-dimensions of the first-fine-structure by overlapping the first-reflectance-spectrum with the second-reflectance-spectrum. A third process actually measures beams reflected from the first and the second-fine-structure, respectively, after irradiating light beam on to the substrate and acquiring reflectance-spectrums of the actual-measured beams as actual-measured spectrum data.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: November 2, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Susumu Saito, Akitaka Shimizu
  • Patent number: 7807479
    Abstract: When scribing a substrate, the precise location of the outer peripheral edge of the substrate on a stage is determined and movement of a scribe tool is controlled to first bring the scribe tool into engagement with the substrate at a location inwardly of the outer peripheral edge of the substrate. After a downwardly directed force of predetermined magnitude exerted by the scribe tool has been attained and stabilized, the scribe tool is moved along the substrate to form a scribe line.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: October 5, 2010
    Assignee: Micro Processing Technology, Inc.
    Inventor: Paul C. Lindsey, Jr.
  • Patent number: 7807576
    Abstract: A semiconductor structure which includes a trench gate FET is formed as follows. A plurality of trenches is formed in a semiconductor region using a mask. The mask includes (i) a first insulating layer over a surface of the semiconductor region, (ii) a first oxidation barrier layer over the first insulating layer, and (iii) a second insulating layer over the first oxidation barrier layer. A thick bottom dielectric (TBD) is formed along the bottom of each trench. The first oxidation barrier layer prevents formation of a dielectric layer along the surface of the semiconductor region during formation of the TBD.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: October 5, 2010
    Assignee: Fairchild Semiconductor Corporation
    Inventor: James Pan
  • Patent number: 7799698
    Abstract: A deposition/etching/deposition process is provided for filling a gap in a surface of a substrate. A liner is formed over the substrate so that distinctive reaction products are formed when it is exposed to a chemical etchant. The detection of such reaction products thus indicates that the portion of the film deposited during the first etching has been removed to an extent that further exposure to the etchant may remove the liner and expose underlying structures. Accordingly, the etching is stopped upon detection of distinctive reaction products and the next deposition in the deposition/etching/deposition process is begun.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: September 21, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lin Zhang, Xiaolin Chen, DongQing Li, Thanh N. Pham, Farhad K. Moghadam, Zhuang Li, Padmanabhan Krishnaraj
  • Patent number: 7795045
    Abstract: A method of manufacturing a semiconductor wafer having at least one device trench extending to a first depth position includes providing a semiconductor substrate having first and second main surfaces and a semiconductor material layer having first and second main surfaces disposed on the first main surface of the semiconductor substrate and determining an etch ratio. The least one device trench and at least one monitor trench are simultaneously formed in the first main surface of the semiconductor material layer. The at least one monitor trench is monitored to detect when it extends to a second depth position. A ratio of the first depth position to the second depth position is generally equal to the etch ratio.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: September 14, 2010
    Assignee: Icemos Technology Ltd.
    Inventors: Hugh J. Griffin, Takeshi Ishiguro, Kenji Sugiura
  • Patent number: 7785936
    Abstract: The present invention relates to a method for repairing a semiconductor device. The method includes cutting a fuse without creation of residue by transforming the fuse into a nonconductor of high resistance by oxidizing the fuse by irradiating the fuse with an oxygen ion beam instead of a laser in a blowing process. The method includes transforming a fuse corresponding to a defective cell among a plurality of fuses formed in an upper portion of a semiconductor substrate into an oxide film.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: August 31, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chi Hwan Jang
  • Patent number: 7754615
    Abstract: A method and apparatus for detecting the endpoint in a dry plasma etching system comprising a first electrode (e.g., upper electrode) and a second electrode (e.g., lower electrode) upon which a substrate rests is described. A direct current (DC) voltage is applied between the first electrode and a ring electrode surrounding the second electrode, and the DC current is monitored to determine the endpoint of the etching process. The DC current is affected by the impedance of the plasma, and therefore responds to many variations including, for example, the plasma density, electron/ion flux to exposed surfaces, the electron temperature, etc.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: July 13, 2010
    Assignees: Tokyo Electron Limited, International Business Machines Corporation (“IBM ”)
    Inventors: Siddhartha Panda, Richard Wise, Lee Chen, Michael Sievers
  • Patent number: 7749398
    Abstract: Calibration wafers and methods for calibrating a plasma process performed in a plasma processing apparatus, such as an ionized physical vapor deposition apparatus. The calibration wafer includes one or more selective-redeposition sources that may be used for calibrating a plasma process. The selective-redeposition sources are constructed to promote the redeposition of a controllable and/or measurable amount of material during the plasma process.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: July 6, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Jozef Brcka, Rodney L. Robison, Takashi Horiuchi
  • Patent number: 7745349
    Abstract: A method for fabricating a semiconductor transistor which eliminates device defects generated during an etching process for forming gates. The method may include laminating an ONO layer on and/or over a semiconductor substrate, and then coating a polysilicon layer on and/or over the ONO layer, and then forming a photoresist pattern on and/or over the polysilicon layer, and then sequentially performing a first etching of the polysilicon layer using the photoresist pattern as an etching mask so as to maintain a predetermined thickness of the polysilicon layer and then a second etching to remove the polysilicon layer remaining from the first etching.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: June 29, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong-Yel Jang