For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Publication number: 20090305931
    Abstract: A composition for removing an insulation material and related methods of use are disclosed. The composition comprises about 1 to 50 percent by weight of an oxidizing agent, about 0.1 to 35 percent by weight of a fluorine-containing compound, and water. The insulation material comprises at least one of a low-k material and a protection material.
    Type: Application
    Filed: June 30, 2009
    Publication date: December 10, 2009
    Applicants: SAMSUNG ELECTRONICS CO., LTD., DONGWOO FINE-CHEM CO., LTD.
    Inventors: Chun-Deuk LEE, Jung-Jea MYUNG, Myun-Kyu PARK, Dong-Min KANG, Byoung-Woo SON, Masayuki TAKASHIMA, Young-Nam KIM, Hyun-Joon KIM
  • Publication number: 20090291873
    Abstract: A composition and method comprising same for the post-chemical mechanical planarization (CMP) of substrates comprising copper and a noble metal, such as but not limited to, ruthenium is described herein wherein the composition controls and/or minimizes the corrosion of copper during the cleaning process. In one aspect, the composition comprises a compound comprising at least one group chosen from an amino acid group, a betaine group, and combinations thereof; optionally a pH modifier chosen from an organic acid, an organic base, or combinations thereof; optionally a surfactant; and optionally a chelating agent.
    Type: Application
    Filed: May 7, 2009
    Publication date: November 26, 2009
    Applicant: Air Products and Chemicals, Inc.
    Inventor: Dnyanesh Chandrakant Tamboli
  • Publication number: 20090291872
    Abstract: The present invention provides compositions comprising ionic liquids and an amine compound, and methods for using and producing the same. In some embodiments, the compositions of the invention are useful in reducing the amount of impurities in a fluid medium or a solid substrate.
    Type: Application
    Filed: December 11, 2008
    Publication date: November 26, 2009
    Applicant: The Regents of the University of Colorado
    Inventors: Jason E. Bara, Dean E. Camper, Douglas L. Gin, Richard D. Noble
  • Publication number: 20090291874
    Abstract: The present application discloses compositions comprising ionic liquids and an amine compound, and methods for using and producing the same. In some embodiments, the compositions disclosed herein are useful in reducing the amount of impurities in a fluid medium or a solid substrate.
    Type: Application
    Filed: May 21, 2009
    Publication date: November 26, 2009
    Inventors: Jason E. Bara, Dean E. Camper, Richard D. Noble, Douglas L. Gin
  • Patent number: 7621281
    Abstract: A cleaning solution for cleaning a substrate for semiconductor devices and a cleaning method using the said cleaning solution, which comprises at least the following components (A), (B) and (C): (A) an ethyleneoxide-type surfactant containing a hydrocarbon group which may have a substituent group except for phenyl, and a polyoxyethylene group in which a ratio (m/n) of a number (m) of carbon atoms contained in the hydrocarbon group to a number (n) of oxyethylene groups contained in the polyoxyethylene group is in the range of 1 to 1.5, the number (m) of carbon atoms is not less than 9, and the number (n) of oxyethylene groups is not less than 7; (B) water; and (C) alkali or an organic acid.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 24, 2009
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Makoto Ikemoto, Yasuhiro Kawase, Hitoshi Morinaga
  • Publication number: 20090286708
    Abstract: It is an object of the present invention to provide a liquid composition for cleaning a semiconductor substrate capable of removing metal impurities on the substrate surface without corroding a copper wiring in the manufacturing process of a semiconductor circuit element. According to the present invention, by means of a cleaning liquid composition for cleaning a semiconductor substrate, comprising one or more aliphatic polycarboxylic acids and one or more basic amino acids, metal impurities can be removed without corroding the copper wiring in a cleaning process of a semiconductor substrate having a copper wiring, in particular in a cleaning process of a semiconductor substrate in which the copper wiring is exposed after chemical mechanical polishing (CMP).
    Type: Application
    Filed: May 12, 2009
    Publication date: November 19, 2009
    Applicant: Kanto Kagaku Kabushiki Kaisha
    Inventors: Yutaka Murakami, Norio Ishikawa
  • Patent number: 7612028
    Abstract: A thinner composition is provided which includes about 60-80% by weight of propylene glycol mono-alkyl ether having a boiling point of T1° C., about 10-30% by weight of alkyl acetate having a boiling point of T2° C., and about 1-10% by weight of a solvent. The solvent has a boiling point of T3° C. and satisfies the equation (1). T2<T3<T2+30??(1).
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: November 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Ki Lee, Jin-Ho Ju, Hi-Kuk Lee, Seon-Su Sin, Kwang-Soo Lee, Jae-Woong Mun
  • Publication number: 20090270300
    Abstract: There is provided a composition that can effectively remove a protective coating and a primer coating that have a resistance to etching solutions and are rendered unnecessary after wet-etching treatment in MEMS fabrication processes, and a method for removing the protective layer. The composition contains (A) at least one organic solvent selected from the group consisting of amides, lactones, pyrrolidones and ketones, (B) water, and (C) a fluoride, in an amount of 80.00 to 99.90 mass %, 0.05 to 12.00 mass %, and 0.05 to 8.00 mass %, respectively. The composition may further contain (D) phosphoric acid, phosphonic acid or phosphinic acid in an amount over 0 mass part to 5.5 mass parts, or (E) an organic amine in an amount over 0 mass part to 45 mass parts, based on 100 mass parts of the composition.
    Type: Application
    Filed: October 22, 2008
    Publication date: October 29, 2009
    Applicants: NISSAN CHEMICAL INDUSTRIES, LTD., BREWER SCIENCE, INC.
    Inventors: Hiroyuki Uehara, Kazuhiro Aoba, Gu Xu, Xing-Fu Zhong
  • Publication number: 20090270299
    Abstract: There is provided a composition that can effectively remove a protective coating and a primer coating that have a resistance to etching solutions and are rendered unnecessary after wet-etching treatment in MEMS fabrication processes, and a method for removing the protective layer. The composition contains (A) at least one organic solvent selected from the group consisting of amides, lactones, pyrrolidones and ketones, (B) water, and (C) a fluoride, in an amount of 80.00 to 99.90 mass %, 0.05 to 12.00 mass %, and 0.05 to 8.00 mass %, respectively. The composition may further contain (D) phosphoric acid, phosphonic acid or phosphinic acid in an amount over 0 mass part to 5.5 mass parts, or (E) an organic amine in an amount over 0 mass part to 45 mass parts, based on 100 mass parts of the composition.
    Type: Application
    Filed: April 23, 2008
    Publication date: October 29, 2009
    Applicants: NISSAN CHEMICAL INDUSTRIES, LTD., BREWER SCIENCE, INC.
    Inventors: Hiroyuki Uehara, Kazuhiro Aoba, Gu Xu, Xing-Fu Zhong
  • Patent number: 7608540
    Abstract: A composition for removing a photoresist includes about 5 to about 20 percent by weight of an alcoholamide compound, about 15 to about 60 percent by weight of a polar aprotic solvent, about 0.1 to about 6 percent by weight of an additive, and pure water. The alcoholamide compound is chemically structured as follows: where R1 is a hydroxyl group or a hydroxyalkyl group, and R2 is a hydrogen atom or a hydroxyalkyl group.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: October 27, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Dae Park, Pil-Kwon Jun, Myoung-Ok Han, Se-Yeon Kim, Kwang-Shin Lim, Tae-Hyo Choi, Seung-Ki Chae, Yang-Koo Lee
  • Patent number: 7605113
    Abstract: A semiconductor wafer cleaning formulation, including 1-35% wt. fluoride source, 20-60% wt. organic amine(s), 0.1-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 20-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: October 20, 2009
    Assignee: Advanced Technology Materials Inc.
    Inventors: William A. Wojtczak, Ma. Fatima Seijo, David Bernhard, Long Nguyen
  • Publication number: 20090246359
    Abstract: This invention pertains to fabrication of devices. One embodiment is a method of substrate cleaning and electroless deposition of a cap layer for an integrated circuit. The method is performed on a substrate having a surface comprising a metal and dielectric damascene metallization layer. The method comprises exposing the surface of the substrate to a cleaning solution sufficient to clean the surface of the substrate and exposing the surface of the substrate to an electroless deposition solution sufficient to deposit the cap layer. Other embodiments of the present invention include solutions to clean the substrate and solutions to accomplish electroless deposition.
    Type: Application
    Filed: March 19, 2009
    Publication date: October 1, 2009
    Inventors: Artur KOLICS, Nanhai LI
  • Publication number: 20090247447
    Abstract: The present invention relates to a removal cleaning method for a semiconductor substrate or a semiconductor device with metal wirings by using a remover composition, wherein the remover composition contains a dissolution agent having an alumina dissolution amount as measured according to the standard test (A-1) of 10 ppm or more, and an inhibitor having an aluminum etching amount as measured according to the standard test (B-1) of 7 nm or less, and the remover composition substantially does not contain a fluorine-containing compound; a method of producing a semiconductor substrate or a semiconductor device, including the step involving the removal cleaning method; and a remover composition containing a specified acid, and a specified inorganic acid salt and/or organic acid salt.
    Type: Application
    Filed: June 10, 2009
    Publication date: October 1, 2009
    Inventors: Atsushi TAMURA, Yasuhiro DOI
  • Patent number: 7595289
    Abstract: The present invention concerns the field of fluorinated hydrocarbons and relates to novel compositions containing fluorinated hydrocarbons, secondary butanol and optionally DMSO. These novel compositions are particularly advantageous for defluxing electronic boards in particular for defluxing electronic boards containing “no clean” solder fluxes.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: September 29, 2009
    Assignee: Arkema France
    Inventors: Jean-Pierre Lallier, Emmanuel Rastelletti
  • Publication number: 20090239777
    Abstract: An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Application
    Filed: March 23, 2009
    Publication date: September 24, 2009
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: David Angst, Peng Zhang, Jeffrey Barnes, Prerna Sonthalia, Emanuel Cooper, Karl Boggs
  • Patent number: 7591270
    Abstract: Process solutions comprising one or more surfactants are used to reduce the number of pattern collapse defects on a plurality of photoresist coated substrates during the manufacture of semiconductor devices.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: September 22, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Peng Zhang, Danielle Megan King Curzi, Eugene Joseph Karwacki, Jr., Leslie Cox Barber
  • Patent number: 7589052
    Abstract: The present invention is related to a slurry composition for polishing copper integrated with tungsten containing barrier layers and its use in a CMP method. The present invention is also related to a method for polishing copper integrated with tungsten containing barrier layers by means of an aqueous solution containing abrasive particles, an inorganic acid such as HNO3 as etchant for copper that prevents galvanic corrosion of the tungsten containing metal barrier and at least one organic compound to provide sufficient copper corrosion inhibition.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: September 15, 2009
    Assignee: IMEC
    Inventors: Didem Ernur, Valentina Terzieva, Jörg Schuhmacher
  • Publication number: 20090221143
    Abstract: A method of cleaning for removing metal compounds attached to a surface of a substrate, wherein the cleaning is conducted by supplying a supercritical fluid of carbon dioxide comprising at least one of triallylamine and tris(3-aminopropyl)amine to the surface of the substrate and a process for producing a semiconductor device using the method of cleaning are provided. In accordance with the method of cleaning and the method for producing a semiconductor device using the method, etching residues or polishing residues containing metal compounds are efficiently removed selectively from the electroconductive material forming the electroconductive layer. When the electroconductive layer is a wiring, an increase in resistance due to residual metal compounds can be suppressed, and an increase in the leak current due to diffusion of the metal from the metal compounds to the insulating film can be prevented. Therefore, reliability on the wiring is improved, and the yield of the semiconductor device can be increased.
    Type: Application
    Filed: September 5, 2006
    Publication date: September 3, 2009
    Inventors: Koichiro Saga, Kenji Yamada, Tomoyuki Azuma, Yuji Murata
  • Publication number: 20090217940
    Abstract: A cleaning composition for cleaning particulate contamination from small dimensions on microelectronic device substrates. The cleaning composition contains dense CO2 (preferably supercritical CO2 (SCCO2)), alcohol, fluoride source, anionic surfactant source, non-ionic surfactant source, and optionally, hydroxyl additive. The cleaning composition enables damage-free, residue-free cleaning of substrates having particulate contamination on Si/SiO2 substrates.
    Type: Application
    Filed: September 11, 2006
    Publication date: September 3, 2009
    Applicant: Advanced Technology Marterials, Inc.
    Inventors: Michael B. Korzenski, Chongying Xu, Thomas H. Baum
  • Publication number: 20090215659
    Abstract: An aqueous-based composition and process for removing hardened photoresist and/or bottom anti-reflective coating (BARC) material from a microelectronic device having same thereon. The aqueous-based composition includes at least one chaotropic solute, at least one alkaline base, and deionized water. The composition achieves high-efficiency removal of hardened photoresist and/or BARC material in the manufacture of integrated circuitry without adverse effect to metal species on the substrate, such as copper, and without damage to low-k dielectric materials employed in the microelectronic device architecture.
    Type: Application
    Filed: January 9, 2006
    Publication date: August 27, 2009
    Applicant: ADVANCED TECHNOLOGY MATERIALS. INC.
    Inventors: David W. Minsek, Weihua Wang, David D. Bernhard, Thomas H. Baum, Melissa K. Rath
  • Publication number: 20090215658
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Application
    Filed: October 4, 2006
    Publication date: August 27, 2009
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Patent number: 7579307
    Abstract: The invention has for its object the provision of a cleaner capable of removing particles and metal impurities present on the surface of a wafer without corrosion of wirings, gates or the like yet at normal temperature in a short period of time and with a one-pack type solution. To accomplish the above object, the invention provides a cleaner that is an aqueous solution containing phosphoric acid, hydrofluoric acid, and ammonia and/or amine, and having a pH ranging from 2 to 12, wherein the aqueous solution comprises 0.5 to 25 mass % of phosphoric acid, 0.1 to 10 mass % of ammonia and/or amine, and 5×10?3 to 5.0 mass % of hydrofluoric acid.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: August 25, 2009
    Assignees: Kishimoto Sangyo Co., Ltd., Fine Polymers Corporation
    Inventors: Shigemasa Suga, Shigeru Kamon, Takashi Yata, Akihiro Terai
  • Patent number: 7579308
    Abstract: Improved compositions and processes for removing photoresists, polymers, post etch residues, and post oxygen ashing residues from interconnect, wafer level packaging, and printed circuit board substrates are disclosed. One process comprises contacting such substrates with mixtures containing an effective amount of organic ammonium compound(s); from about 2 to about 20 weight percent of oxammonium compound(s); optionally organic solvent(s); and water.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: August 25, 2009
    Assignee: EKC/DuPont Electronics Technologies
    Inventor: Wai Mun Lee
  • Patent number: 7579309
    Abstract: The present invention relates to a method for characterizing defects on silicon surfaces, such as silicon wafers, a method for treating silicon surfaces with an etching solution, and an etching solution to be employed in the treating and defect characterization of such silicon wafer surfaces.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: August 25, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Alexandra Abbadie, Jochen Maehliss, Bernd Kolbesen
  • Patent number: 7576046
    Abstract: A cleaning liquid for lithography that exhibits equally excellent cleaning performance for resists of a wide variety of compositions, such as various resists for i-line, KrF and ArF, silicic resist and chemical amplification type positive resist, and that excels in post-treatment dryability, being free from any deterioration of resist performance by cleaning. There is provided a cleaning liquid for lithography, comprising at least one member (A) selected from among lower alkyl esters of acetic acid and propionic acid and at least one member (B) selected from among ketones having 5 to 7 carbon atoms per molecule in a mass ratio of (A):(B) of 4:6 to 7:3.
    Type: Grant
    Filed: December 26, 2005
    Date of Patent: August 18, 2009
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Yasumitsu Taira, Chima Shinohara
  • Publication number: 20090203566
    Abstract: A composition that includes 2-(2-aminoethylamino)-ethanol, at least one of a chelating agent and a corrosion inhibitor, and water. The composition is capable of removing organic, organometallic and metal oxide residues from semiconductor substrates. The invention also relates to a method of removing etching residue from a semiconductor substrate.
    Type: Application
    Filed: March 13, 2009
    Publication date: August 13, 2009
    Inventors: Wai Mun Lee, Cass X. Shang, Atsushi Otake, Akira Kuroda, Takanori Matsumoto, Hisashi Takeda
  • Patent number: 7572758
    Abstract: A cleaning liquid is provided, which comprises an aqueous solution containing nitric acid, sulfuric acid, a fluorine compound, and a basic compound. The concentration of water in the cleaning liquid is 80% by weight or more, and the pH value of the cleaning liquid is from 1 to less than 3. The cleaning liquid is effective for removing etching residues formed in a dry etching process from semiconductor devices and display devices without oxidizing and corroding their metal wirings, particularly, copper wirings and the materials of insulating films.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: August 11, 2009
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kenji Shimada, Kojiro Abe, Masaru Ohto, Hiroshi Matsunaga
  • Patent number: 7569336
    Abstract: In a method of forming a pattern using a composition for removing photoresist, a layer is formed on a substrate, and then a photoresist pattern is formed on the layer. A portion of the layer exposed by the photoresist pattern is etched using the photoresist pattern as an etching mask to form the pattern on the substrate. Then, the photoresist pattern is removed using the composition including hydroxylamine, an alkanolamine-based compound, a morpholine-based compound, a polar solvent, a corrosion preventing agent, and water. The composition may effectively remove a photoresist pattern and etched residues without damaging the substrate and/or the pattern including metal, nitride, oxide and/or metal nitride.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: August 4, 2009
    Assignee: Ram Technology Co., Ltd.
    Inventors: Jun-Ing Kil, Sok-Ho Yi, Kyong-Hee Kim, Hee Seo, Bon-Wang Koo, Min-Young Kim
  • Publication number: 20090192065
    Abstract: A method and composition for removing hardened photoresist, post-etch photoresist, and/or bottom anti-reflective coating from a microelectronic device is described. The composition may include a dense fluid, e.g., a supercritical fluid, and a dense fluid concentrate including a co-solvent, optionally a fluoride source, and optionally an acid. The dense fluid compositions substantially remove the contaminating residue and/or layers from the microelectronic device prior to subsequent processing, thus improving the morphology, performance, reliability and yield of the microelectronic device.
    Type: Application
    Filed: June 16, 2006
    Publication date: July 30, 2009
    Applicant: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Pamela M. Visintin, Thomas H. Baum, David W. Minsek, Chongying Xu
  • Patent number: 7562662
    Abstract: A cleaning method for removing foreign bodies during the fabrication of semiconductor devices including treating a substrate with a cleaning solution including an oxidizer to form a chemical oxide layer and then removing the chemical oxide layer, thereby removing foreign bodies from a surface of the semiconductor substrate. Accordingly, the foreign bodies can be substantially removed from the surface of the substrate without corroding a metal.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: July 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Sang-Jun Choi, Chang-Ki Hong
  • Patent number: 7563754
    Abstract: A composition for removing a photoresist residue and a polymer residue remaining on a semiconductor substrate after dry etching and after ashing is provided, the composition containing at least one type of fluorine compound, at least one type of organic acid, at least one type of organic amine, and water, the composition having a pH of 4 to 7, and the total content of components other than water being 0.3 to 30 mass % of the entire composition.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: July 21, 2009
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Takuo Oowada, Kaoru Ikegami, Norio Ishikawa
  • Patent number: 7563753
    Abstract: Cleaning solutions for removing photoresist resins and a method of forming patterns using the same are disclosed. The cleaning solution includes water (H2O) as main component, one or more surfactants as additive selected from the group consisting of polyoxyalkylene compounds, a salt of alcohol amine of Formula 1 and hydrocarbon compounds having carboxylic acid (—COOH) group, a salt of alcohol amine of Formula 1 and hydrocarbon compounds having sulfonic acid (—SO3H) group, polyethylene glycol compounds, compounds of Formula 3, compounds having a molecular weight ranging from 1000 to 10000 including repeating unit of Formula 4, polyether denatured silicon compounds and alcohol compounds. wherein R1, R2, R3, R4, R5, A, l and n are defined in the specification.
    Type: Grant
    Filed: December 12, 2002
    Date of Patent: July 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Jae Chang Jung, Ki Soo Shin, Keun Kyu Kong, Sung Koo Lee, Young Sun Hwang
  • Patent number: 7557073
    Abstract: A method and composition for removing ion-implanted photoresist from semiconductor substrates having such photoresist is described. The removal composition contains supercritical CO2 (SCCO2), a co-solvent and a reducing agent for use in removing ion-implanted photoresist. Such removal composition overcomes the intrinsic deficiency of SCCO2 as a removal reagent, viz., the non-polar character of SCCO2 and its associated inability to solubilize species such as inorganic salts and polar organic compounds that are present in the photoresist and that must be removed from the semiconductor substrate for efficient cleaning.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: July 7, 2009
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Thomas H. Baum
  • Publication number: 20090170742
    Abstract: An aqueous cleaning composition for cleaning wafer contaminants after a chemical mechanical planarization process, includes: 0.1-20 wt % of an alkanolamine selected from the group consisting of 2-amino-1,3-propanediol, 2-amino-2-(hydroxymethyl)-1,3-propanediol, and combinations thereof; 0.05-20 wt % of a quaternary amine; and water. The cleaning composition is capable of removing efficiently residual contaminants from a polished surface of a wafer and imparting the wafer with a better surface roughness.
    Type: Application
    Filed: August 19, 2008
    Publication date: July 2, 2009
    Applicant: EPOCH MATERIAL CO., LTD.
    Inventors: Tsung-Hsien Chuang, Chien-Ching Chen, Wen-Cheng Liu
  • Publication number: 20090170741
    Abstract: Provided is a composition for removing polymer residue of a photosensitive etching-resistant layer. The composition includes 0.1 to 80% by weight of a corrosion inhibitor shown in Formula 1; 10 to 80% by weight of a pH control agent of which hydrogen ion concentration is in a weak basic range; 0.1 to 2% by weight of ammonium fluoride; and the remaining percentage by weight of water. The composition for removing the polymer residue can effectively remove insoluble residue generated during a semiconductor fabrication process without inflicting damage on an underlying layer and contains environment-friendly components.
    Type: Application
    Filed: December 19, 2006
    Publication date: July 2, 2009
    Inventors: Ho-Sung Choi, Deok-Ho Kim
  • Patent number: 7553803
    Abstract: A method and composition for removing silicon-containing particulate material, such as silicon nitrides and silicon oxides, from patterned Si/SiO2 semiconductor wafer surfaces is described. The composition includes a supercritical fluid (SCF), an etchant species, a co-solvent, a surface passivator, a binder, deionized water, and optionally a surfactant. The SCF-based compositions substantially remove the contaminating particulate material from the wafer surface prior to subsequent processing, thus improving the morphology, performance, reliability and yield of the semiconductor device.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: June 30, 2009
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Thomas H. Baum
  • Publication number: 20090162537
    Abstract: One embodiment of the present invention is a method of fabricating an integrated circuit. The method includes providing a substrate having a metal and dielectric damascene metallization layer and depositing substantially on the metal a cap. After deposition of the cap, the substrate is cleaned with a solution comprising an amine to provide a pH for the cleaning solution of 7 to about 13. Another embodiment of the presented invention is a method of cleaning substrates. Still another embodiment of the present invention is a formulation for a cleaning solution.
    Type: Application
    Filed: December 13, 2008
    Publication date: June 25, 2009
    Inventors: Artur KOLICS, Shijian LI, Tiruchirapalli ARUNAGIRI, William THIE
  • Publication number: 20090156452
    Abstract: The embodiments of the present invention provide improved materials for cleaning patterned substrates with fine features. The cleaning materials have advantages in cleaning patterned substrates with fine features without substantially damaging the features. The cleaning materials are fluid, either in liquid phase, or in liquid/gas phase, and deform around device features; therefore, the cleaning materials do not substantially damage the device features or reduce damage all together. The cleaning materials containing polymers of a polymeric compound with large molecular weight capture the contaminants on the substrate. In addition, the cleaning materials entrap the contaminants and do not return the contaminants to the substrate surface. The polymers of one or more polymeric compounds with large molecular weight form long polymer chains, which can also be cross-linked to form a network (or polymeric network).
    Type: Application
    Filed: June 2, 2008
    Publication date: June 18, 2009
    Inventors: David S.L. Mui, Satish Srinivasan, Grant Peng, Ji Zhu, Shih-Chung Kon, Dragan Podlesnik, Arjun Mendiratta
  • Publication number: 20090151755
    Abstract: A cleaning solution and method for removing submicron particles from the surface and/or the bevel of an electronic substrate such as a semiconductor wafer. The cleaning solution comprises a polycarboxylate polymer or an ethoxylated polyamine. The method comprises the step of contacting a surface of the substrate with a cleaning solution comprised of a polycarboxylate polymer or an ethoxylated polyamine. Additional optional steps in the method include applying acoustic energy to the cleaning solution and/or rinsing the surface with a rinsing solution with or without the application of acoustic energy to the rinsing solution.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 18, 2009
    Inventor: Mark Jonathan Beck
  • Patent number: 7547669
    Abstract: A new remover chemistry based on a choline compound, such as choline hydroxide, is provided in order to address problems related to removal of residues, modified photoresists, photoresists, and polymers such as organic anti-reflective coatings and gap-fill and sacrificial polymers from surfaces involved in dual damascene structures without damaging the dielectrics and substrates involved therein. An etch stop inorganic layer at the bottom of a dual damascene structure may or may not be used to cover the underlying interconnect of copper. If not used, a process step of removing that protective layer can be avoided through a timed etch of the via in trench-first dual damascene processes.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: June 16, 2009
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 7543592
    Abstract: Improved compositions and processes for removing photoresists, polymers, post etch residues, and post oxygen ashing residues from interconnect, wafer level packaging, and printed circuit board substrates are disclosed. One process comprises contacting such substrates with mixtures containing an effective amount of organic ammonium compound(s); from about 2 to about 20 weight percent of oxammonium compound(s); optionally organic solvent(s); and water.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: June 9, 2009
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 7541322
    Abstract: To provide a cleaning solution for a substrate for a semiconductor device capable of removing particle contamination, organic contamination and metal contamination at the same time without corroding the substrate surface, and further having good water rinsability and capable of making the substrate surface highly clean in a short time, and a cleaning method.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: June 2, 2009
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Makoto Ikemoto, Hitoshi Morinaga
  • Patent number: 7534753
    Abstract: A residue cleaning composition includes: (a) water; (b) a fluoride; (c) a pH buffer system including an organic acid and a base. The organic acid can be an aminoalkylsulfonic acid and/or an aminoalkylcarboxylic acid. The base can be an amine and/or a quaternary alkylammonium hydroxide. The composition is substantially free of an added organic solvent and has a pH ranging from about 5 to about 12. A method of removing residue from a substrate includes contacting the residue with the cleaning composition. A method for defining a pattern includes etching the pattern through a photoresist into a substrate, heating the patterned substrate to a temperature sufficient to ash the photoresist and provide a residue, and removing the residue by contacting the residue with the cleaning composition.
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: May 19, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Roberto John Rovito
  • Patent number: 7534752
    Abstract: A semiconductor wafer cleaning formulation for use in post plasma ashing semiconductor fabrication comprising at least one organic chelating agent and at least one polar solvent, wherein the chelating agent and polar solvent are in sufficient amounts to effectively remove inorganic compound residue from a semiconductor wafer. Preferably, the chelating agent is selected from the group consisting of 2,4-Pentanedione, Malonic acid, Oxalic acid, p-Toluenesulfonic acid, and Trifluoroacetic acid; and the polar solvent is selected from the group consisting of Water, Ethylene glycol, N-Methylpyrrolidone (NMP), Gamma butyrolactone (BLO), Cyclohexylpyrrolidone (CHP), Sulfolane, 1,4-Butanediol, and Butyl carbitol.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: May 19, 2009
    Assignee: Advanced Technology Materials, Inc.
    Inventors: William A. Wojtczak, Ma. Fatima Seijo, Thomas J. Kloffenstein, Stephen A. Fine, legal representative, Daniel N. Fine
  • Publication number: 20090120458
    Abstract: A composition for removing particulate matter from integrated circuit substrates, including (a) one or more metal ion-free base; (b) a water-soluble metal ion-free onium salt of a polyhedral silsesquioxane; (c) an oxidizing agent; and (d) metal ion-free water, and a composition obtained by combining ingredients including (a), (b), (c) and (d). A process for removing particulate matter from a surface of an integrated circuit device, including applying to the surface the composition including (a), (b), (c) and (d) or applying to the surface the composition obtained by combining ingredients including (a), (b), (c) and (d).
    Type: Application
    Filed: November 12, 2008
    Publication date: May 14, 2009
    Inventor: Jianjun Hao
  • Patent number: 7531491
    Abstract: Aqueous cleaning solutions are provided for cleaning an integrated circuit device formed on a wafer, as well as methods of cleaning a wafer using the aqueous cleaning solution. In one aspect, an aqueous cleaning solution includes a low foam surfactant, a metal corrosion inhibitor, an acidic pH control agent or an alkali pH control agent, and water.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: May 12, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Sup Min, Sang-Jun Choi, Chang-Ki Hong
  • Publication number: 20090117713
    Abstract: The present invention is related to a method for reducing attraction forces between wafers (4). This method is characterized in that it comprises the step of, after sawing and before dissolution of the adhesive (5), introducing spacers (6) between wafers (4). The invention comprises also a wafer singulation method and an agent for use in said methods.
    Type: Application
    Filed: June 26, 2006
    Publication date: May 7, 2009
    Applicant: REC SCANWAFER AS
    Inventors: Erik Sauar, Per Arne Wang
  • Patent number: 7528098
    Abstract: A residue remover for removing polymeric material and etch residue includes 2-(2-aminoethylamino)-ethanol and optionally another two-carbon atom linkage alkanolamine compound, gallic acid or catechol, water, a polar organic solvent, and hydroxylamine. A process for removing photoresist or other residue from a substrate, such as an integrated circuit semiconductor wafer including titanium metallurgy, includes the steps of contacting the substrate with the above composition for a time and at a temperature sufficient to remove the photoresist or other residue from the substrate. Use of 2-(2-aminoethylamino)-ethanol in the composition and process provides superior residue removal without attacking titanium or other metallurgy on the substrate. The composition preferably has a flash point greater than about 130° C.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: May 5, 2009
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Katy Ip, Xuan-Dung Dinh, David John Maloney
  • Publication number: 20090107520
    Abstract: The present invention is a composition and cleaning method for use in semiconductor processes wherein the compositions comprises at least one amidoxime compound.
    Type: Application
    Filed: October 29, 2008
    Publication date: April 30, 2009
    Inventors: Wai Mun Lee, Mark A. Scialdone, Albert G. Anderson
  • Patent number: 7524801
    Abstract: Particulate and metal ion contamination is removed from a surface, such as a semiconductor wafer containing copper damascene or dual damascene features, employing a fluoride-free aqueous composition comprising a dicarboxylic acid and/or salt thereof; and a hydroxycarboxylic acid and/or salt thereof or amine group containing acid.
    Type: Grant
    Filed: September 2, 2003
    Date of Patent: April 28, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Emil Anton Kneer