For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Patent number: 8067352
    Abstract: The invention relates to an aqueous cleaning composition for use in a cleaning process during or after a chemical mechanical planarization for a copper integrated circuit processing, comprising 0.05 to 20 wt % of a nitrogen-containing heterocyclic organic base, 0.05 to 50 wt % of an alcohol amine, 0.01-10 wt % of a quaternary ammonium hydroxide, and water. When used during or after the planarization process, the inventive cleaning composition of the invention can effectively remove residual contaminants from the surfaces of the wafers and simultaneously maintain a good surface roughness of the wafers.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: November 29, 2011
    Assignee: Epoch Material Co., Ltd.
    Inventors: Chien Ching Chen, Wen Cheng Liu, Tsung Hsien Chuang, Jui Ching Chen
  • Patent number: 8062429
    Abstract: The present invention relates to aqueous compositions comprising amidoxime compounds and methods for cleaning plasma etch residue from semiconductor substrates including such dilute aqueous solutions. The compositions of the invention may optionally contain one or more other acid compounds, one or more basic compounds, and a fluoride-containing compound and additional components such as organic solvents, chelating agents, amines, and surfactants. The invention also relates to a method of removing residue from a substrate during integrated circuit fabrication.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: November 22, 2011
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 8063007
    Abstract: The present invention relates to a removal cleaning method for a semiconductor substrate or a semiconductor device with metal wirings by using a remover composition, wherein the remover composition contains a dissolution agent having an alumina dissolution amount as measured according to the standard test (A-1) of 10 ppm or more, and an inhibitor having an aluminum etching amount as measured according to the standard test (B-1) of 7 nm or less, and the remover composition substantially does not contain a fluorine-containing compound; a method of producing a semiconductor substrate or a semiconductor device, including the step involving the removal cleaning method; and a remover composition containing a specified acid, and a specified inorganic acid salt and/or organic acid salt.
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: November 22, 2011
    Assignee: Kao Corporation
    Inventors: Atsushi Tamura, Yasuhiro Doi
  • Patent number: 8063006
    Abstract: The invention relates to an aqueous cleaning composition for wafers with copper wires that have been treated by chemical mechanical planarization in an integrated circuit processing, comprising 0.1 to 15 wt % of a nitrogen-containing heterocyclic organic base, 0.1 to 35 wt % of an alcohol amine and water. Upon contact with copper-containing semiconductor wafers that have been treated by chemical mechanical planarization for an effective period of time, the aqueous cleaning composition can effectively remove residual contaminants from the surfaces of the wafers, and simultaneously provide the copper-containing semiconductor wafers with a better surface roughness.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: November 22, 2011
    Assignee: Epoch Material Co., Ltd.
    Inventors: Chien Ching Chen, Wen Cheng Liu, Jing-Chiuan Shiue, Teng Yan Huo
  • Publication number: 20110281436
    Abstract: Provided are a cleaning composition which is capable of inhibiting the metal of a semiconductor substrate from corrosion, and has an excellent removability of plasma etching residues and/or ashing residues on the semiconductor substrate, a method for producing a semiconductor device, and a cleaning method using the cleaning composition. The cleaning composition for removing plasma etching residues and/or ashing residues formed on a semiconductor substrate, and a preparation method and a cleaning method for a semiconductor device, using the cleaning composition, wherein the cleaning composition includes (Component a) water; (Component b) an amine compound; (Component c) hydroxylamine and/or a salt thereof; (Component d) a quaternary ammonium compound; (Component e) an organic acid; and (Component f) a water-soluble organic solvent; and has a pH of 6 to 9.
    Type: Application
    Filed: May 13, 2011
    Publication date: November 17, 2011
    Applicant: FUJIFILM CORPORATION
    Inventors: Tadashi INABA, Kazutaka TAKAHASHI, Tomonori TAKAHASHI, Atsushi MIZUTANI
  • Patent number: 8058220
    Abstract: Problem: To provide a cleaning liquid for lithography and a cleaning method using it for photoexposure devices. In a process of liquid immersion lithography, the cleaning liquid may efficiently clean the photoexposure device site (especially optical lens member) contaminated with the component released from photoresist and remove the contaminant, and in addition, the waste treatment for the cleaning liquid is easy, the efficiency in substitution with the cleaning liquid for the medium for liquid immersion lithography is high, and the cleaning liquid does not detract from the throughput in semiconductor production.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: November 15, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Yoshihiro Sawada, Jiro Yokoya, Tomoyuki Hirano
  • Patent number: 8057696
    Abstract: This invention relates to compositions and methods for removing overfilled substrates, preferably at a relatively high removal rates. Advantageously, a composition according to the invention can contain an oxidizer, preferably a per-type oxidizer such as a peroxide, periodic acid, and peracetic acid, and may also optionally contain an abrasive.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: November 15, 2011
    Assignee: DuPont Air Products NanoMaterials LLC
    Inventors: Philippe H. Chelle, Robert J. Small
  • Patent number: 8058180
    Abstract: This invention provides methods of fabricating semiconductor devices, wherein an alloy layer is formed on a semiconductor substrate to form a substrate structure, which methods include using an aqueous solution diluted ammonia and peroxide mixture (APM) to perform cleaning and/or wet etching treatment steps on the substrate structure.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: November 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-Won Kwon, Hyung-Ho Ko, Chang-Sup Mun, Woo-Gwan Shim, Im-Soo Park, Yu-Kyung Kim, Jeong-Nam Han
  • Patent number: 8058221
    Abstract: Provided are a composition for removing a photoresist and a method of manufacturing a semiconductor device using the composition. The composition includes about 60-90 wt % of dimethyl sulfoxide, about 10-30 wt % of a polar organic solvent, about 0.5-1.5 wt % of hydroxy alkyl ammonium and about 1-10 wt % of an amine containing no hydroxyl group.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: November 15, 2011
    Assignees: Samsung Electronics Co., Ltd., Cheil Industries Inc.
    Inventors: Dong-Min Kang, Dongchan Bae, Kyoochul Cho, Baiksoon Choi, Seunghyun Ahn, Myungkook Park, Goun Kim
  • Patent number: 8058219
    Abstract: A liquid removal composition and process for removing photoresist and/or sacrificial anti-reflective coating (SARC) material from a microelectronic device having same thereon. The liquid removal composition includes at least one organic quaternary base and at least one surface interaction enhancing additive. The composition achieves at least partial removal of photoresist and/or SARC material in the manufacture of integrated circuitry with minimal etching of metal species on the microelectronic device, such as copper and cobalt, and without damage to low-k dielectric materials employed in the microelectronic device architecture.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: November 15, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Melissa K. Rath, David D. Bernhard, Thomas H. Baum, Ping Jiang, Renjie Zhou, Michael B. Korzenski
  • Patent number: 8044009
    Abstract: A front end of the line (FEOL) stripping and cleaning composition for cleaning unashed ion-implanted photoresist from a wafer substrate comprises: a) at least one organic stripping solvent, b) fluoride ions from at least one of ammonium fluoride, ammonium bifluoride or hydrogen fluoride, c) at least one acidifying agent selected from inorganic or organic acids, and d) water, with an oxidizing agent optionally also being present in the composition.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: October 25, 2011
    Assignee: Avantor Performance Materials, Inc.
    Inventors: Sean Michael Kane, Steven A. Lippy
  • Publication number: 20110256483
    Abstract: Provided are a residue removing liquid composition capable of completely removing a resist residue and a titanium (Ti)-derived residue that remains after dry etching and ashing in via hole formation in a production process for a semiconductor substrate having metal wiring of aluminium (Al) or an Al alloy, at a low temperature in a short time, not corroding parts of an interlayer insulating material, a wiring material and others, and a cleaning method for semiconductor devices using it. The residue removing liquid composition contains (A) ammonium fluoride, (B) methanesulfonic acid, (C) a carbon-carbon triple bond-having compound, (D) a water-soluble organic solvent, and (E) water, wherein the content of (A), (C), (D) and (E) in the residue removing liquid composition is from 0.005 to 2% by mass, from 0.1 to 10% by mass, from 60 to 75% by mass and from 5 to 38% by mass, respectively, and (B) is contained in an amount of from 0.9 to 1.5 times (by mol) the amount of (A).
    Type: Application
    Filed: July 7, 2009
    Publication date: October 20, 2011
    Applicant: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kyoko Kamata, Keiichi Tanaka, Hiroshi Matsunaga
  • Publication number: 20110253171
    Abstract: The present invention is a chemical composition to remove epoxy-based photoimageable coatings that include a solvent system to dissolve and rinse away the coating, an acidic additive that hydrolyzes the coating and releases a plurality of monomeric forms to the solvent, a plurality of inhibitors that protect any exposed substrate and a surfactant to lower a surface tension of the coating on the substrate. The composition can be utilized with a method for removing a partial cured and a fully cured epoxy-based photoimageable coating from a substrate with the composition to remove epoxy-based photoimageable coatings.
    Type: Application
    Filed: April 15, 2011
    Publication date: October 20, 2011
    Inventor: John Moore
  • Publication number: 20110247650
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a basic compound, and optionally a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates. One of the embodiment is the method of using the compositions in dilution, wherein the solution may be diluted with DI water at dilution ratios, for example, of up to 1:10, up to 1:50, up to 1:100, up to 1:150, up to 1:250, and up to about 1:500 or any ratios therein.
    Type: Application
    Filed: June 20, 2011
    Publication date: October 13, 2011
    Inventor: WAI MUN LEE
  • Publication number: 20110245127
    Abstract: Provided is a cleaning agent for electronic materials, which enables very efficient advanced cleaning such that yield in the production of the electronic materials is improved and cleaning in a short period of time becomes possible, the cleaning agent having excellent cleaning power for fine-grained particles and organic matter and being able to reduce metallic contamination on the substrate. The cleaning agent for electronic materials comprises sulfamic acid (A), an anionic surfactant having at least one sulfonic acid group or a salt thereof in the molecule (B), a chelating agent (C), and water, wherein the pH at 25 C is preferably not more than 3.0 and the (B) is preferably a polymeric anionic surfactant (B1) having a weight average molecular weight of 1,000 to 2,000,000.
    Type: Application
    Filed: November 25, 2009
    Publication date: October 6, 2011
    Applicant: SANYO CHEMICAL INDUSTRIES, LTD.
    Inventors: Kazumitsu Suzuki, Shohei Sato, Ayayo Sugiyama
  • Patent number: 8030263
    Abstract: A composition comprising one or more water soluble organic solvents comprising a glycol ether; water; a fluoride containing compound provided that if the fluoride containing compound is ammonium fluoride than no additional fluoride containing compound is added to the composition; optionally a quaternary ammonium compound; and optionally a corrosion inhibitor is disclosed herein that is capable of removing residues from an article such as photoresist and/or etching residue. Also disclosed herein is a method for removing residues from an article using the composition disclosed herein.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: October 4, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Michael Walter Legenza, Thomas Michael Wieder, Jennifer May Rieker
  • Publication number: 20110237480
    Abstract: A cleaning method is provided that includes a step of preparing a cleaning composition containing 57 to 95 wt % of (component a) water, 1 to 40 wt % of (component b) a secondary hydroxy group- and/or tertiary hydroxy group-containing hydroxy compound, (component c) an organic acid, and (component d) a quaternary ammonium compound, the composition having a pH of 5 to 10, and a step of removing plasma etching residue formed above a semiconductor substrate by means of the cleaning composition.
    Type: Application
    Filed: March 17, 2011
    Publication date: September 29, 2011
    Applicant: FUJIFILM Corporation
    Inventors: Atsushi MIZUTANI, Hideo Fushimi, Tomonori Takahashi, Kazutaka Takahashi
  • Patent number: 8026200
    Abstract: A method and low pH compositions for removing bulk and/or hardened photoresist material from microelectronic devices have been developed. The low pH compositions include at least one mineral acid and at least one oxidizing agent. The low pH compositions effectively remove the hardened photoresist material while not damaging the underlying silicon-containing layer(s).
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: September 27, 2011
    Assignees: Advanced Technology Materials, Inc., International Business Machines Corp.
    Inventors: Emanuel Cooper, Julie Cissell, Renjie Zhou, Michael B. Korzenski, George G. Totir, Mahmoud Khojasteh
  • Patent number: 8017568
    Abstract: Supercritical carbon dioxide may be utilized to remove resistant residues such as those residues left when etching dielectrics in fluorine-based plasma gases. The supercritical carbon dioxide may include an oxidizer in one embodiment.
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: September 13, 2011
    Assignee: Intel Corporation
    Inventors: Shan C. Clark, Vijayakumar S. Ramachandrarao, Robert B. Turkot, Jr.
  • Patent number: 8017813
    Abstract: The present inventions are (A) a solvent comprising at least one cycloalkyl alkyl ether (1) represented by the general formula: R1—O—R2 (wherein R1 is cyclopentyl or the like; and R2 is C1-10 alkyl or the like); (B) a method of preparations the ethers (1) characterized by reacting an alicyclic olefin with an alcohol in the presence of an acid ion-exchange resin having a water content of 5 wt % or less. The solvent is useful as cleaning solvent for electronic components, precision machinery components or the like, reaction solvent using various chemical reactions, extraction solvent for extracting objective organic substances, solvent or remover for electronic and electrical materials, and so on. The process enables industrially advantageous production of the objective cycloalkyl alkyl ethers (1).
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: September 13, 2011
    Assignee: Zeon Corporation
    Inventors: Idan Kin, Genichi Ohta, Kazuo Teraishi, Kiyoshi Watanabe
  • Patent number: 8017524
    Abstract: The invention provides a chemical-mechanical polishing composition comprising wet-process silica, a stabilizer compound, a potassium salt, a secondary amine compound, and water. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: September 13, 2011
    Assignee: Cabot Microelectronics Corporation
    Inventors: Michael White, Jeffrey Gilliland, Lamon Jones, Alicia Walters
  • Publication number: 20110214685
    Abstract: A cleaning agent for a silicon wafer (a first cleaning agent) contains at least a water-based cleaning liquid and a water-repellent cleaning liquid for providing at least a recessed portion of an uneven pattern with water repellency during a cleaning process. The water-based cleaning liquid is a liquid in which a water-repellent compound having a reactive moiety chemically bondable to Si element in the silicon wafer and a hydrophobic group, and an organic solvent including at least an alcoholic solvent are mixed and contained. With this cleaning agent, the cleaning process which tends to induce a pattern collapse can be improved.
    Type: Application
    Filed: October 5, 2010
    Publication date: September 8, 2011
    Applicant: CENTRAL GLASS COMPANY, LIMITED
    Inventors: Soichi KUMON, Takashi Saio, Shinobu Arata, Hidehisa Nanai, Yoshinori Akamatsu, Shigeo Hamaguchi, Kazuhiko Maeda
  • Publication number: 20110214688
    Abstract: An aqueous cleaning solution and a method of use of the cleaning solution are described herein for removing sidewall polymer of a damascene process on a wafer without damaging any low-k material and interconnect material on the wafer.
    Type: Application
    Filed: March 4, 2011
    Publication date: September 8, 2011
    Applicant: Lam Research Corporation
    Inventors: Anthony D. Ozzello, JR., Ying-Liang Chuang
  • Patent number: 8012921
    Abstract: The present invention provides a photoresist stripper including about 5 wt % to about 20 wt % alcohol amine, about 40 wt % to about 70 wt % glycol ether, about 20 wt % to about 40 wt % N-methyl pyrrolidone, and about 0.2 wt % to about 6 wt % chelating agent.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: September 6, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sick Park, Jong-Hyun Jeong, Suk-Il Yoon, Seong-Bae Kim, Wy-Yong Kim, Soon-Beom Huh, Byung-Uk Kim
  • Patent number: 8012922
    Abstract: A wet cleaning solution, comprising 0.01-3 wt % of an amphoteric imidazolium surfactant capable of forming a complex with metal ions, a pH adjuster, and balanced deionized water. The wet cleaning solution is substantially free of corrosion inhibitor other than the imidazolium amphoteric surfactant.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: September 6, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Yuan Tsai, Chih-Lung Lin, Cheng-Chen Hsueh
  • Publication number: 20110212866
    Abstract: The present invention relates to water-rich formulations and the method using same, to remove bulk photoresists, post-etched and post-ashed residues, residues from Al back-end-of-the-line interconnect structures, as well as contaminations. The formulation comprises: hydroxylamine; corrosion inhibitor containing a mixture of alkyl dihydroxybenzene and hydroxyquinoline; an alkanolamine, a water-soluble solvent or the combination of the two; and at least 50% by weight of water.
    Type: Application
    Filed: August 19, 2010
    Publication date: September 1, 2011
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Madhukar Bhaskara Rao, Gautam Banerjee, Thomas Michael Wieder, Yi-Chia Lee, Wen Dar Liu, Aiping Wu
  • Publication number: 20110212865
    Abstract: A microelectronic photoresist cleaning composition suitable for cleaning multi-metal microelectronic devices and to do so without any substantial or significant galvanic corrosion occurring when there is a subsequent rinsing step employing water.
    Type: Application
    Filed: October 22, 2009
    Publication date: September 1, 2011
    Inventor: Seiji Inaoka
  • Patent number: 8007594
    Abstract: A method for manufacturing a semiconductor device includes the step of conducting a cleaning process for a wafer formed with copper wiring lines to remove contaminations produced on a back surface of the wafer. The cleaning process is conducted by injecting onto the back surface of the wafer an etchant for removing contaminations and simultaneously injecting onto a front surface of the wafer a reductant containing hydrogen.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: August 30, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Bang Lee, Kwang Kee Chae, Ok Min Moon
  • Patent number: 8007593
    Abstract: A remover composition containing 1,3-propanediamine (a), 1-hydroxyethylidene-1, 1-diphosphonic acid (b) and water, wherein the remover composition contains the component (a) in an amount of from 0.2 to 30% by weight, the component (b) in an amount of from 0.05 to 10% by weight, and the water in an amount of from 60 to 99.75% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13; and a remover composition containing an organic amine (A), an organic phosphonic acid (B), a linear sugar alcohol (C) and water, wherein the remover composition contains the component (A) in an amount of from 0.2 to 30% by weight, the component (B) in an amount of from 0.05 to 10% by weight, the component (C) in an amount of from 0.1 to 10% by weight, and the water in an amount of from 50 to 99.65% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: August 30, 2011
    Assignee: Kao Corporation
    Inventors: Sadaharu Miyamoto, Yasushi Sasaki
  • Publication number: 20110207645
    Abstract: Photoresist strippers and cleaning compositions of this invention are provided by non-aqueous cleaning compositions that are essentially non-corrosive toward copper as well as aluminum and that comprise at least one polar organic solvent, at least one hydroxylated organic amine, and at least one corrosion inhibitor polymer having multiple hydroxyl- or amino-functional groups pendant from the polymer backbone.
    Type: Application
    Filed: April 27, 2011
    Publication date: August 25, 2011
    Inventor: Seiji INAOKA
  • Patent number: 8003587
    Abstract: A composition that includes 2-(2-aminoethylamino)-ethanol, at least one of a chelating agent and a corrosion inhibitor, and water. The composition is capable of removing organic, organometallic and metal oxide residues from semiconductor substrates. The invention also relates to a method of removing etching residue from a semiconductor substrate.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 23, 2011
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Cass X Shang, Atsushi Otake, Akira Kuroda, Takanori Matsumoto, Hisashi Takeda
  • Patent number: 7998664
    Abstract: The present invention provides a resist substrate treating solution and a method for pattern formation using that treating solution, and thereby problems such as foreign substances on the substrate surface, pattern collapse and pattern roughness can be easily solved at the same time. The treating solution comprises water and an alkylene oxide adduct of a primary amine having a hydrocarbon group of 11 to 30 carbon atoms or of ammonia. The method for pattern formation according to the invention comprises a step of treating the developed pattern with that treating solution.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: August 16, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Go Noya, Masakazu Kobayashi, Ryuta Shimazaki
  • Patent number: 7998914
    Abstract: A cleaning solution for semiconductor devices or display devices containing a polyamine of a specified structure having two or more amino groups in adjacent positions of a carbon chain or a salt thereof and a cleaning method of semiconductor devices or display devices using the subject cleaning solution are provided. The cleaning solution for semiconductor devices or display devices of the present invention has high safety, brings a little burden on the environment and is able to easily remove etching residues on a semiconductor substrate in a short time; on that occasion, it is possible to achieve microfabrication without utterly corroding wiring materials; and furthermore, rinsing can be achieved with only water without necessity for use of, as a rinse solution, an organic solvent such as alcohols.
    Type: Grant
    Filed: November 24, 2006
    Date of Patent: August 16, 2011
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kenji Shimada, Kojiro Abe
  • Publication number: 20110195888
    Abstract: Post-CMP treating liquids are provided, one of which includes water, an amphoteric surfactant, an anionic surfactant, a complexing agent, resin particles having carboxylic group and sulfonyl group on their surfaces, a primary particle diameter thereof ranging from 10 to 60 nm, and tetramethyl ammonium hydroxide. Another includes water, polyphenol, an anionic surfactant, ethylene diamine tetraacetic acid, resin particles having carboxylic group and sulfonyl group on their surfaces, a primary particle diameter thereof ranging from 10 to 60 nm, and tetramethyl ammonium hydroxide. Both of the treating liquids have a pH ranging from 4 to 9, and exhibit a polishing rate both of an insulating film and a conductive film at a rate of 10 nm/min or less.
    Type: Application
    Filed: April 21, 2011
    Publication date: August 11, 2011
    Inventors: Nobuyuki KURASHIMA, Gaku Minamihaba, Yoshikuni Tateyama, Hiroyuki Yano
  • Publication number: 20110195573
    Abstract: Provided are a cleaning liquid for lithography that exhibits excellent corrosion suppression performance in relation to ILD materials, and excellent removal performance in relation to a resist film and a bottom antireflective coating film, and a method for forming a wiring using the cleaning liquid for lithography. The cleaning liquid for lithography according to the present invention includes a quaternary ammonium hydroxide, a water soluble organic solvent, water, and an inorganic base. The water soluble organic solvent contains a highly polar solvent having a dipole moment of no less than 3.0 D, a glycol ether solvent and a polyhydric alcohol, and the total content of the highly polar solvent and the glycol ether solvent is no less than 30% by mass relative to the total mass of the liquid for lithography.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 11, 2011
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takuya Ohhashi, Masaru TAKAHAMA, Takahiro ETO, Daijiro MORI, Shigeru YOKOI
  • Publication number: 20110195887
    Abstract: A highly aqueous acidic cleaning composition for copper oxide etch removal from Cu-dual damascene microelectronic structures and wherein that composition prevents or substantially eliminates copper redeposition on the Cu-dual damascene microelectronic structure.
    Type: Application
    Filed: October 6, 2009
    Publication date: August 11, 2011
    Inventors: Glenn Westwood, Seong Jin Hong, Sang In Kim
  • Patent number: 7994108
    Abstract: An aqueous-based composition and process for removing hardened photoresist and/or bottom anti-reflective coating (BARC) material from a microelectronic device having same thereon. The aqueous-based composition includes at least one chaotropic solute, at least one alkaline base, and deionized water. The composition achieves high-efficiency removal of hardened photoresist and/or BARC material in the manufacture of integrated circuitry without adverse effect to metal species on the substrate, such as copper, and without damage to low-k dielectric materials employed in the microelectronic device architecture.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: August 9, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David W. Minsek, Weihua Wang, David D. Bernhard, Thomas H. Baum, Melissa K. Rath
  • Publication number: 20110187010
    Abstract: A method of cleaning a substrate includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The semiconductor substrate may be a wafer.
    Type: Application
    Filed: April 11, 2011
    Publication date: August 4, 2011
    Inventor: Robert J. Small
  • Publication number: 20110186086
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Application
    Filed: April 11, 2011
    Publication date: August 4, 2011
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Publication number: 20110180747
    Abstract: Semiconductor processing compositions for use with silicon wafers having an insulating layers and metalization layers on the wafers comprising water and one or more Troika acids which is also referred to as ?,?-disubstituted trifunctional oximes or ?-(Hydroxyimino) Phosphonoacetic acids, their salts, and their derivatives.
    Type: Application
    Filed: April 8, 2011
    Publication date: July 28, 2011
    Inventor: WAI MUN LEE
  • Patent number: 7985723
    Abstract: Described are anionic N-substituted fluorinated sulfonamide surfactants, and use thereof in cleaning and in acid etch solutions. The cleaning and etch solutions are used with a wide variety of substrates, for example, in the cleaning and etching of silicon oxide-containing substrates.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: July 26, 2011
    Assignee: 3M Innovative Properties Company
    Inventors: Patricia M. Savu, William M. Lamanna, Michael J. Parent
  • Patent number: 7985297
    Abstract: A cleaning solution for a quartz part and a method for cleaning the quartz part are provided. The cleaning solution includes from about 5 to about 35 wt % of an ammonium compound, from about 7 to about 55 wt % of an acidic oxidizing agent, from about 5 to about 30 wt % of a fluorine compound and a remaining amount of water. Residual thin films and impurities on the surface of the quartz part may be removed while reducing the damage onto the quartz part.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: July 26, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Dae Park, Pil-Kwon Jun, Bo-Yong Lee, Tae-Hyo Choi, Da-Hee Lee, Seung-Ki Chae
  • Patent number: 7977292
    Abstract: A cleaning composition of a semiconductor device for laminating an organosiloxane-based thin film and a photoresist layer in this order on a substrate having a low dielectric interlayer insulation film and a copper wiring or a copper alloy wiring, then applying selective exposure and development treatments to the subject photoresist layer to form a photoresist pattern, subsequently applying a dry etching treatment to the organosiloxane-based thin film and the low dielectric interlayer insulation film while using this resist pattern as a mask and then removing the organosiloxane-based thin film, a residue generated by the dry etching treatment, a modified photoresist having been modified by the dry etching treatment and an unmodified photoresist layer located in a lower layer than the modified photoresist, the cleaning composition containing from 15 to 20% by mass of hydrogen peroxide, from 0.0001 to 0.003% by mass of an amino polymethylene phosphonic acid, from 0.02 to 0.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: July 12, 2011
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Hiroshi Matsunaga, Masaru Ohto, Hideo Kashiwagi, Hiroshi Yoshida
  • Patent number: 7976638
    Abstract: A composition for removing particulate matter from integrated circuit substrates, including (a) one or more metal ion-free base; (b) a water-soluble metal ion-free onium salt of a polyhedral silsesquioxane; (c) an oxidizing agent; and (d) metal ion-free water, and a composition obtained by combining ingredients including (a), (b), (c) and (d). A process for removing particulate matter from a surface of an integrated circuit device, including applying to the surface the composition including (a), (b), (c) and (d) or applying to the surface the composition obtained by combining ingredients including (a), (b), (c) and (d).
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: July 12, 2011
    Assignee: Sachem, Inc.
    Inventor: Jianjun Hao
  • Publication number: 20110160112
    Abstract: A cleaning composition including a polyamino carboxylic salt, an acid and water is provided. The content of the polyamino carboxylic salt is 0.01 wt % to 0.5 wt %. The content of the acid is 0.01 wt % to 0.5 wt %. The remaining portion of the cleaning composition is water.
    Type: Application
    Filed: October 5, 2010
    Publication date: June 30, 2011
    Applicant: UWiZ Technology Co., Ltd.
    Inventors: Song-Yuan Chang, Po-Yuan Shen, Wen-Tsai Tsai, Ming-Hui Lu, Cheng-Hsun Chan
  • Publication number: 20110155169
    Abstract: A cleaning fluid including dispersed gas avoids using ultrasonic energy to induce cavitation by subjecting a liquid containing dissolved gas to a pressure reduction in a bubble machine, to generate a gas/liquid dispersion. The cleaning fluid can be used to clean articles such as semiconductor wafers using a device that includes a holder and a vibrator for supplying ultrasonic or megasonic energy to the article.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Applicant: LAM RESEARCH AG
    Inventors: Frank Ludwig HOLSTEYNS, Alexander LIPPERT, Thomas WIRNSBERGER
  • Patent number: 7968506
    Abstract: After trench line pattern openings and via pattern openings are formed in a inter-metal dielectric insulation layer of a semiconductor wafer using trench-first dual damascene process, the wafer is wet cleaned in a single step wet clean process using a novel wet clean solvent composition. The wet clean solvent effectively cleans the dry etch residue from the plasma etching of the dual damascene openings, etches back the TiN hard mask layer along the dual damascene openings and forms a recessed surface at the conductor metal from layer below exposed at the bottom of the via openings of the dual damascene openings.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: June 28, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Li Chou, Syun-Ming Jang, Jyu-Horng Shieh, Chih-Yuan Ting
  • Patent number: 7968507
    Abstract: The present invention provides a stripping composition and a stripping method capable of easily stripping a color resist or an organic insulating film formed on a substrate to reuse the substrate when defects are found during a process of forming the color filter or organic insulating film on the substrate. In one embodiment, the stripping composition includes about 0.5 to about 45 wt % of hydroxide compound, about 10 to about 89 wt % of alkyleneglycolalkylether compound, about 5 to about 45 wt % of alkanolamine compound, and about 0.01 to about 5 wt % of inorganic salt compound. Advantageously, the stripping process can be performed without damaging a thin film transistor of a bottom substrate while removing the color resist or organic insulating film.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: June 28, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji Sun Lee, Hong Sick Park, Jong Hyun Choung, Sun Young Hong, Bong Kyun Kim, Byeong Jin Lee, Byung Uk Kim, Jong Hyun Jeong, Suk Il Yoon, Seong Bae Kim, Sung Gun Shin, Soon Beom Huh, Se Hwan Jung, Doo Young Jang
  • Publication number: 20110152151
    Abstract: Methods and systems for cleaning corrosion product of a metallic capping layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface.
    Type: Application
    Filed: December 10, 2010
    Publication date: June 23, 2011
    Applicant: Lam Research
    Inventor: Artur Kolics
  • Publication number: 20110146724
    Abstract: The present invention discloses a photoresist stripper for removing positive and negative tone photoresist, bonding adhesive, ink mark and post etch residue etc. from semiconductor substrates. The photoresist stripper comprises: a) one or more organic sulfonic acids with a structure of ?where R can be alkyl, substituted alkyl, aryl, substituted aryl and alkylaryl, and n is 1 or higher; and b) one or more alkanolamine; one or more alkanolamine with the structure of ?wherein R1 and R2 can be H, alkyl, aryl, alkylaryl, arylalkyl, alkyl alcohol, aryl alcohol, alkyaryl alcohol or arylalkyl alcohol and R3 is alkyl alcohol, aryl alcohol, alkyaryl alcohol or arylalkyl alcohol or the like. c) Optionally, one or more halogen free organic solvents. d) Optionally, one or more corrosion inhibitors Optionally, the photoresist stripper further comprises other surfactants.
    Type: Application
    Filed: October 7, 2010
    Publication date: June 23, 2011
    Inventor: WAI MUN LEE